首页 > 其他分享 >FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置

时间:2023-03-06 19:32:56浏览次数:43  
标签:2018.2 FPGA get 引脚 Vivado set property ports


前言

  • Vivado 版本: Vivado 2018.2 + Vivado HLS 2018.2, Vivado HLS 2018.2 用于 SDK 开发,C语言开发
  • 创建基于MicroBlaze的 【Block Design】后,添加了 【AXI Uartlite】,发现烧写到FPGA后,没有任何的反应,这时才发现,要想让 microblaze 这个MCU模块工作起来,还需要C语言开发
  • 基于 ​​Vivado HLS 2018.2​​ 的 SDK开发,也就是 FPGA 就是一个 MCU了,让MCU工作,还得需要MCU工作的程序,如基于SDK开发的C语言程序

操作步骤

添加MicroBlaze及AXI Uartlite

  • Vivado 创建工程,这部分可以参考之前的文章,如 FPGA 学习笔记:Vivado 2019.1 工程创建
  • 【Block Design】的创建,这个可以参考之前的文章,如:FPGA 学习笔记:Vivado 2019.1 添加 IP MicroBlaze

网络连线

  • 【Block Design】中,可以使用使用Vivado提供的【自动连接】,连接大部分的网络port,但是部分特殊的连接,需要手动连接,如复位线
  • 这里只需要注意 【复位线】的连接

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_学习

  • 更改 Clocking Wizard 模块的复位电平,改为低有效,然后引出,resetn_0,并且把 【Processor System Reset】模块的 【ext_reset_in】手动连接到 resetn_0
  • Clocking Wizard 引出 ​​clk_in1_0​​ 时钟输入引脚,可以选择 IP 模块的引脚后,右键【Make External】自动导出引脚的网络Port
  • 如果 【Uartlite】模块没有网络,可以使用Vivaod 自动连接生成网络,可以手动创建网络,然后连接到IP 模块的引脚上

导出【Block Design】

  • 点击 【Validate Design】按钮,确认 【Block Design】是否正常,若果有问题,需要根据提示进行修改,如网络为空

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_引脚_02

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_fpga开发_03

  • 【Create HDL Wrapper…】,生成 wrapper 文件,如果工程没有 top 文件,可以先使用这个生成的wrapper文件作为 top文件

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_IP_04

  • 【Generate Output Products…】生成【Block Design】设计文件,网表等文件,

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_fpga开发_05

配置引脚

  • 【Run Implementation】,执行 FPGA 实现,会先执行 FPGA综合【Run Synthesis】,执行完成后,就可以打开 【Open Implemented Design】,打开FPGA实现后的设计文件,配置引脚,引脚配置部分参考前面的文章,如

​​FPGA 学习笔记:Vivado 配置IO引脚约束​​

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_学习_06

  • 约束文件内容为:
set_property PACKAGE_PIN E14 [get_ports uart_rtl_0_rxd]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_0_rxd]
set_property PACKAGE_PIN D17 [get_ports uart_rtl_0_txd]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_0_txd]
set_property PACKAGE_PIN U7 [get_ports resetn_0]
set_property IOSTANDARD LVCMOS15 [get_ports resetn_0]
set_property IOSTANDARD LVCMOS15 [get_ports clk_in1_0]
set_property PACKAGE_PIN R4 [get_ports clk_in1_0]

set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]

set_property PACKAGE_PIN U27 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property PACKAGE_PIN F25 [get_ports sys_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
  • 生成 二进制文件,这里设置一下,同时生成 bin 文件

FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置_引脚_07

  • 然后点击【Generate Bitstream】,生成 bit 文件与bin 文件
  • 未完待续

小结

  • 本篇介绍了完成MicroBlaze Uartlite的连线,生成Block Design 的设计文件,配置好引脚,生成二进制文件
  • 后面接着介绍 导出 硬件文件,打开 SDK(Vivado 2018.2),并MicroBlaze 工作起来,让串口输出 helloword 信息


标签:2018.2,FPGA,get,引脚,Vivado,set,property,ports
From: https://blog.51cto.com/zhangsz0516/6103267

相关文章

  • 嵌入式和FPGA怎么选?
    两者区别在哪里?1.嵌入式系统:在电子信息工程领域,嵌入式系统的应用十分广泛,是一个比较热门的研究方向。可选择从事嵌入式软硬件的开发工作。嵌入式软件开发主要与嵌入式操作......
  • 米尔基于Zynq 7000系列单板的FPGA农业生产识别系统解决方案
      随着农业生产模式和视觉技术的发展,农业采摘机器人的应用已逐渐成为了智慧农业的新趋势,通过机器视觉技术对农作物进行自动检测和识别已成为采摘机器人设计的关键技术......
  • FPGA 原理、结构、开发流程简述
    简介本文主要介绍了博主在阅读天野英晴主编的《FPGA原理和结构》一书时的读书笔记,方便更好的了解和入门FPGA。本博客图片均来自于参考文献[1]。FPGA特性和粒度FPGA是......
  • 小梅哥课程学习——串口发送应用之发送数据(可在vivado中仿真出现正确波形)
    //1、底层代码源代码发送10位数据moduleuart_pr(clk,reset_n,send_go,data,baud_set,tx_done,uart_tx);inputclk;......
  • 基于FPGA的RGB灯WS2812B的控制器设计
    这次设计一个RGB灯的控制器,该控制器具有如下特点:每个灯的颜色可调,亮灭可控可以设置参数来修改RGB的数目 WS2812B的数据时序如下图所示:   (图片来源自网络、......
  • FPGA设计——CMOS摄像与HDMI显示(MIPI版)
    1.概述 本设计采用FPGA技术,将CMOS摄像头(MIPI接口)的视频数据经过采集、存储、帧率转换及格式转换,最终通过HDMI接口显示在电视屏幕上。 2.硬件系统框图CMOS采用300......
  • 嵌入式ARM端测试手册——全志T3+Logos FPGA开发板(上)
    前言本指导文档适用开发环境:Windows开发环境:Windows764bit、Windows1064bitLinux开发环境:Ubuntu18.04.464bit虚拟机:VMware15.5.5进行本文档操作前,请先按照调试......
  • 全志T3+FPGA国产核心板——Pango Design Suite的FPGA程序加载固化
    本文主要基于紫光同创PangoDesignSuite(PDS)开发软件,演示FPGA程序的加载、固化,以及程序编译等方法。适用的开发环境为Windows7/1064bit。测试板卡为全志T3+LogosFPGA......
  • FPGA_8_21
    XtrexxRGB-sx FPGA视频闪光灯 抓拍GPIO m34_slo_... m36_slo_... Altracapture闪光灯(同步)抓拍 sensor Gl-Busregistertranslate talkxilinxfpgasw&hw......
  • FPGA_8_17
    后处理 黑平衡 De-Bayer德拜耳双线性算法 高斯混合模型(GMM)矩阵系统 y峰c低通滤波器 视频处理LU2 伽马校正算法 亮度增益lumagain 色彩饱和度colorsaturation ......