首页 > 其他分享 >软件教程-使用Quartus+ModelSim联合仿真

软件教程-使用Quartus+ModelSim联合仿真

时间:2023-02-17 19:23:10浏览次数:33  
标签:仿真 文件 Quartus ModelSim Simulation vt 软件教程

最后修改日期:2023/02/17


本文前提是用Verilog Testbench文件(.vt) 仿真。相较于波形仿真而言,此方法较为通用。

下面以Quartus Prime 18.0 Standard + Mentor Graphics ModelSim SE-64 10.4为例说明。

1. Quartus选项设置

Quartus软件全局偏好设置。在此配置EDA软件。

点击Tools-Options…,找到General-EDA Tool Options,在ModelSim一栏中填入ModelSim可执行程序的路径,典型路径为:[INSTALL_DIR]\win64。

2. 创建vt文件

点击Processing-Start-Start Testbench Template Writer,会自动生成vt文件,vt文件的命名、顶层模块等与项目中设定的Top-Level Module一致,否则会出现错误:Top-level design entity "xxx" is undefined.

注意:生成vt文件之后,并不会自动打开文件,也不会自动引入到项目中。可以观察Quartus Message的提示,如下图所示:

image

注意 “Generated Verilog Test Becn File” 的提示。典型路径为:[PRJ_DIR]\simulation\modelsim\xxx.vt。

后面vt文件是否引入到项目中其实是不影响的。不过vt文件需要修改,如果不用Quartus打开修改,也可以用其它编辑器修改。

3. 修改vt文件

这部分不再多说。Template中的端口定义和实例化都已经完成,只需要设置波形(也就是always或initial块)。

4. 仿真选项设置

点击Assignments-Settings…,找到EDA Tool Settings-Simulation。如下图所示:

image

一项项设置。Tool name是ModelSim,HDL语言自己选,Time scale决定仿真精度。

然后注意下方NativeLink settings,选择Compile test bench,然后点击Test Benches…,进去之后应当是空白。点击New…增加新的测试,如下图所示:

image

Top level module in test bench,去vt文件里看一下Top的名称,填进去。

稳妥起见,Test bench name与前述项保持一致。

End simulation at,也就是仿真停止时间。

然后下方添加 .vt文件,注意选完之后要点Add才能添加进来。

全部完成后点OK。

5. 启动仿真

功能仿真:Tools-Run Simulation Tool-RTL Simulation
时序仿真:Tools-Run Simulation-Gate Level Simulation…

会自动弹出ModelSim页面,自动仿真,自动展示波形。

下图给出了ModelSim的界面:

image

在运行时,注意下方Transcript的提示,有Error而导致仿真不成功会以红字标出。

左下角会给出进度条,代表仿真时间进度。当仿真时间设置的比较长的时候,可以看这里观察仿真进度。

标签:仿真,文件,Quartus,ModelSim,Simulation,vt,软件教程
From: https://www.cnblogs.com/li-2000/p/app-Quartus_with_ModelSim.html

相关文章

  • Xara3D 6.0动画文字软件教程(一)
        我一直很喜欢3D动态字,平时在网上看到一些祝福类的动态字就收藏起来,给朋友送去生日祝福等可以随时派上用场。  ​​董建华​​老太家订做“相濡以沫三十九载”纪......
  • Xilinx_ISE和ModelSim的联合使用方法 / 从Xilinx ISE 14.7启动ModelSim时遇到的问题
    解决方法:前提是安装了xilinxise14.7和modelsimse10.1a1〉从Windows的StartMenu开始,XilinxISEDesignSuite14.7—〉EDK—〉Tools—〉CompileSimulationLibrari......
  • FPGA新起点V1开发板(四)——Modelsim安装
    文章目录​​一、Modelsim的介绍​​​​二、安装​​​​三、破戒​​一、Modelsim的介绍Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业......
  • quartus中使用FFT IP核
     一、准备工作  首先需要把需要的器材准备好,我使用的是quartus18.0,并且要使用IP核被破解的版本,不然无法使用其中的FFT和NCO,一定要注意,quartus对于版本非常敏感,一定要......
  • 单文件版软件怎么制作?使用VMWare ThinApp制作单文件软件教程
    一、概述最近弄到了一个非常简单的单文件软件制作工具,VMWareThinApp,今天小编就来和大家一起学习学习如何制作单文件版软件,这里就先以快播做个示范二、软件特色1、操作......
  • modelsim 读写txt文件方法
      1.读取数据方法: reg[DATAIN_WIDTH-1:0]mem[9999:0]; //读数据 initialbegin $readmemh("data.txt",mem); end 2.写入数据到txt方法,这里的da......
  • 【Quartus系列】实验一: 3-8译码器(原理图输⼊设计)
    实验一: 3-8译码器(原理图输⼊设计)⼀:实验⽬的 1. 了解3-8译码器的电路原理,掌握组合逻辑电路的设计⽅法 2. 掌握QuartusII软件原理图输⼊设计的流程⼆:实验内容 2.......
  • 使用modelsim仿真含Xilinx原语代码块
    很早之前笔者已经写过关于modelsim仿真的文章了,不过之前笔者做的仿真都是有现成代码块的仿真。对于那些使用原语的代码块进行仿真时则需要产生相关的仿真库,笔者这里使......
  • [报错] Modelsim远程无法打开异常处理
    在远程桌面打开Modelsim时,我们无法打开,会弹出:FatalLicenseError错误,其原因为:在License文件中,没有允许远程访问;所以我们只需要在Modelsim的license文件中增加允许远程打开......
  • quartus II输入原理图及仿真步骤(转)
    https://www.cnblogs.com/mikewolf2002/p/10237681.html?ivk_sa=1024320uquartusII输入原理图及仿真步骤   在QuartusII中输入原理图以及实现仿真是学习基本数字......