首页 > 其他分享 >vivado使用tcl和tcl打开vivado工程的方法

vivado使用tcl和tcl打开vivado工程的方法

时间:2022-11-30 12:03:21浏览次数:57  
标签:文件 工程 路径 vivado tcl 版本 打开

把vivado工程保存成.tcl文件,有两种方法,分别是:

① 使用tcl命令:在打开的vivado工程中,在tcl命令输入行,输入如下命令,write_project_tcl { d:/work/system.tcl},即可把工程保存成.tcl文件。其中d:/work/是.tcl文件保存的路径,可根据实际使用的需要更改,system.tcl是保存的文件名。

② 使用GUI操作:在打开的vivado工程中,依次点击 File —》 Write Project to Tcl ,在Write Project To Tcl 界面设置相关参数(主要是tcl文件的保存路径和文件名),即可把工程保存成.tcl文件。

使用.tcl文件恢复vivado工程,需要注意以下事项:

① 首先要打开.tcl文件,查看此.tcl文件是用哪个版本的vivado创建的,然后必须使用同样版本的vivado软件来运行此.tcl文件。因为不同版本的vivado用的IP核可能不同,所以vivado版本必须先一致。

② 查看.tcl文件中的NOTE,把NOTE中提到的全部文件找到,并按相关提示修改.tcl文件中相关文件的路径为当前路径。

使用.tcl文件恢复vivado工程,有以下两种方法:

① 使用tcl命令:启动vivado,在tcl console下,用cd命令将工作路径指定到目标路径,例如 cd d:/work/vivado_Project,在此路径下保存有.tcl文件,然后输入TCL命令 source ./system.tcl,即可完成恢复vivado工程。

②启动vivado,在vivado界面tools下,运行run tcl script,路径指到system.tcl的保存路径即可。

可以使用pwd命令来查看当前路径。

 

vivado使用tcl迁移工程 vivado在不同的工程中无法直接拷贝bd文件,如果想把一个工程的bd迁移到另外一个工程中,可以通过在老工程里头导出tcl脚本,在tcl命令行中输入:write_bd_tcl name.tcl,此时生成了name.tcl, 打开刚刚那个tcl文件,把里面的vivado版本和ip核版本改一下,改成需要的版本。然后在新工程执行tcl脚本创建BD,先cd切换到老工程name.tcl路径下,在tcl命令行中输入:source name.tcl喝杯茶等待即可

标签:文件,工程,路径,vivado,tcl,版本,打开
From: https://www.cnblogs.com/amxiang/p/16937988.html

相关文章