vpd
  • 2024-06-02不同格式的仿真波形文件
    1,Vcs/Xrun环境中VCD/FSDB/SHM/VPD的Dump方法详解2,全面介绍各种仿真波形文件格式(vpd/shm/wlf/fsdb/vcd)3,波形文件(wlf/vcd/fsdb/shm/vpd)的区别,fsdb生成方法
  • 2023-06-11VCS+DVE+Verdi+Makefile使用
    业界有三大仿真工具,Synopsis家的VCS、Cadence家的IUS-irun(现在是Xcelium-xrun)和Mentor的Modelsim。VCS的全称是VerilogCompileSimulator,是Synopsis公司的电路仿真工具,可以进行电路的时序模拟。VCS属于编译型verilog仿真器,内部的仿真工具是DVE。VCS先将verilog/systemverilog文
  • 2023-02-03dbms_rls.add_policy访问控制
    1、场景说明:   以前的一套数据分发环境,将省局的数据通过GoldenGate过滤分发到相应的地市,通过“纳税人代码”过滤分发后,各个地市只能得到自己地市的数据。例如:纳税
  • 2022-11-12Python3.8多进程共享内存之Numpy数组
    在利用python处理数据的时候,想要充分发挥CPU的算力,可以选择利用多进程来实现。如果子进程内存占用较大的话,往往很难多开进程,如果不涉及对内存的写入操作,那么多个子进程共享