• 2024-01-26一生一芯-verilator仿真环境
    1.参考:【一生一芯】搭建verilator仿真环境-老吴家的小阿哲-博客园(cnblogs.com)2.verilator探幽(1)verilator工作原理(2)一个简单的例子1.将verilog代码写入文件top.v2.将C++代码写入文件sim_main.cpp3.使用下面的命令来运行Verilator:verilator--cc--exe--build-
  • 2024-01-25ysyx:verilator的初步学习
    虽然已经看过了c语言,但是verilator用的语法我还是第一次见。moduletop(inputa,inputb,outputf);assignf=a^b;endmodule//这是verilog代码,单另起一个文件,后缀用.v不要当成c的代码------------------------------------------------------
  • 2023-09-17vim插件使用python编写+AXI非对齐传输如何发送+verdi配置搜索顺序+verible和verilator介绍
    vim插件使用python编写虽然vim有自己的一套语法格式,但是学习成本放着呢,语言那么多,啥都学哪学的过来嘛。不过vim确实是支持python的,但是是python2,而不是python3,因此语法上的一些问题要兼容下。这个是官方手册,正确而可靠的部分。https://vimdoc.sourceforge.net/htmldoc/if_pyth
  • 2023-02-21关于 verilator 中 config.vlt 等配置文件的使用
    参考网页:https://verilator.org/guide/latest/exe_verilator.html#configuration-files注意,在使用config.vlt配置文件时,要在verilator命令后边立刻跟着config.vlt,否则
  • 2023-01-27Xmake v2.7.6 发布,新增 Verilog 和 C++ Modules 分发支持
    Xmake是一个基于Lua的轻量级跨平台构建工具。它非常的轻量,没有任何依赖,因为它内置了Lua运行时。它使用xmake.lua维护项目构建,相比makefile/CMakeLists.txt,配置语
  • 2023-01-19verilator书写模块testbench
    默认顶层模型名称为top,环境名称为contextpconststd::unique_ptr<VerilatedContext>contextp{newVerilatedContext};conststd::unique_ptr<Vxxx>top{newVxxx{cont
  • 2022-08-21日常学习(4)verilator、Makefile、bash
    Verilator使用https://blog.csdn.net/daturasee/article/details/124488821https://github.com/verilator/verilatorour.vmoduleour;initialbegin$display("He