• 2024-05-19【转载】Verilog对数据进行四舍五入(round)与饱和(saturation)截位
    jgliu</div><!--end:blogTitle博客的标题和副标题--><divid="navigator">博客园首页新随笔联系订阅管理 <divclass="blogStats"> <spanid="stats_post_count">随笔-19&nbsp;</span>文
  • 2024-01-07几种常见的色彩空间
    几种常见的色彩空间RGBRed红色Green绿色Blue蓝色YCbCrY为颜色的亮度成分、而CB和CR则为蓝色和红色的浓度偏移量成份HSVHue色调Saturation饱和度V表示色彩的明亮程度V为RGB中maxCMYCyan青色Magenta洋红Yellow黄色CMYKCyan青色Magenta洋红Yellow
  • 2023-11-28【实例】Verilog对数据进行四舍五入(round)与饱和(saturation)截位
    转自https://blog.csdn.net/yan1111112/article/details/118498533重点:1、正数截位:直接看截掉的最高位是不是一,是的话进一。   负数截位:截的最高位为1且其它位不全是0进一2、饱和,也就是大于求的结果,整数变为符号位为0,其它位为1;负数变成第一位为1,其它位为0. 一、引言
  • 2023-11-28verilog实现 floor, round 四舍五入 和 saturation 操作
    floor,round和saturation是进行bit位削减时常用的方法,floor和round用于削减低位,saturation用于削减高位。floor和round的区别在于,floor是将低位直接丢掉,而round则是在丢掉低位前先进行四舍五入。round和saturation的使用范例如下: 假设有一个32bit有符号数a[31:0],其低10bit