• 2024-06-24[本科项目实训] Hugging Face Transformers 模型部署与微调
    TransformersHuggingFaceTransformer提供了模型的加载、推理、微调接口,使用该库可以轻松完成自然语言模型的部署微调工作,其有继承自AutoClass的四个最为常见的接口,且调用方式均为AutoClass.from_pretrain("model_name"):AutoTokenizer:用于文本分词AutoFeatureExtractor:用
  • 2024-06-21【FAS】《Application of machine learning to face Anti-spoofing detection》
    文章目录原文相关工作方法静态Gabor小波和动态LBP的融合特征基于GAN的数据增强人脸活体检测方法半监督学习用于图像修复的人脸活体检测点评原文李莉.反欺骗人脸活体图像的机器学习方法研究[D].广东工业学,2020.DOI:10.27029/d.cnki.ggdgu.2020.001204.相关
  • 2024-06-19想转行AI大模型开发但不知如何下手?掌握这四个开源工具,让你领先一步!
    最近有很多小伙伴问我,之前从事的其他领域的编程,现在想要学习AI大模型开发的相关技能,不知道从哪下手,应该学习些什么,下面四个是我认为从事大模型开发,必须掌握的四个开源工具,大家可以作为参考:1、HuggingFace(抱抱脸)HuggingFace是现在最大的AI开源社区,里面提供了很多的预训
  • 2024-06-18使用芯片为ZYNQ—7020,基于野火FPGA ZYNQ开发板
    使用芯片为ZYNQ—7020,基于野火FPGAZYNQ开发板肤色模型简介YCrCb也称为YUV,主要用于优化彩色视频信号的传输。与RGB视频信号传输相比,它最大的优点在于只需占用极少的频宽(RGB要求三个独立的视频信号同时传输)。其中“Y”表示明亮度(Luminance或Luma),也就是灰阶值;而“U”和“V”
  • 2024-06-18【ai】如何在ollama中随意使用hugging face上的gguf开源模型
    【背景】ollama的pull命令可以直接pullollama列表中现有的模型,但是ollama可以直接pull的模型大都是英语偏好(llama2有直接可以pull的chinese版本),而huggingface上则有大量多语种训练的模型,如果能直接使用huggingface上的gguf开源模型,那就自由多了,本篇介绍方法。【命令】
  • 2024-06-17服务器从hugging face下载数据集失败
    问题服务器没法下载外网数据导致huggingface的load_dataset下载失败。解决方法没找到load_dataset的源代码,想办法本地下载并上传到服务器,服务器从本地使用数据集。示例:dataset=load_dataset("mit-han-lab/pile-val-backup",split="validation")将该路径下的文件下载到本
  • 2024-06-15【三维合成孔径雷达模拟】频率调制连续波(FMCW)合成孔径雷达(SAR)模拟器(Matlab代码实现)
     
  • 2024-06-13Python: faces Swap
     #encoding:utf-8#版权所有2024©涂聚文有限公司#许可信息查看:两个头像图片之间换脸#描述:https://stackoverflow.com/questions/902761/saving-a-numpy-array-as-an-image?answertab=votes#Author:geovindu,GeovinDu涂聚文.#IDE:PyCharm2023.1
  • 2024-06-12Glif – 基于 SD 的 AI 绘画浏览器插件
    基于StableDiffusion的AI绘画浏览器插件,你可以在网页上对任何图片根据文本描述来重新生成新的图片样式。例如有些图片素材有版权现在,那么这个时候你就可以用AI来重新生成无版权限制的。功能介绍所有工作流程均由glif.app提供支持,然后使用GPT-4等LLM进行扩展,并
  • 2024-06-05【例0808】create daxis using face 使用面创建基准轴
    文章作者:里海来源网站:NX二次开发官方案例专栏简介《createdaxisusingface根据代码内容,翻译“createdaxisusingface”为“使用面创建基准轴”》这是一个NX二次开发官方小例子,下面是代码和解析。相较于混乱、未经验证的代码,官方案例能够确保开发者获得准确的开发
  • 2024-05-29Hugging Face x LangChain: 全新 LangChain 合作伙伴包
    我们很高兴官宣发布langchain_huggingface,这是一个由HuggingFace和LangChain共同维护的LangChain合作伙伴包。这个新的Python包旨在将HuggingFace最新功能引入LangChain并保持同步。源自社区,服务社区目前,LangChain中所有与HuggingFace相关的类都是由社区贡
  • 2024-05-232024年5月23日第五十五篇
    今天看了一下kotlin感觉在短时间内还是难以学完,于是打算继续用java开发android,然后用tkinter绘制了一个画像玩。#脸部(方形)canvas.create_rectangle(x0,y0,x1,y1,fill='peachpuff',outline='black')#头发hair_height=face_height//5canvas.crea
  • 2024-05-23记牌器
    游戏规则统计每位玩家出过的牌况,从而大概估计一下可能的赢面代码实现首先把这个规则用代码写出来1.一共分花色和牌面,有54张牌2.每次都进行记录,并加和再在它基础上进行简单的可视化(主要是利用Easygui的界面)g.ccbox()#选择框g.msgbox()#提醒框最后查缺补漏,看看有没有什么Bu
  • 2024-05-22python直接调用InstantID进行图片生成
    项目地址https://github.com/InstantID/InstantID克隆到本地,根据要求pip安装依赖模型文件上篇文章讲了如何下载https://www.cnblogs.com/qcy-blog/p/18202276我用的windows,所以改了一下示例infer.py源码,主要是修改了模型得绝对路径。importcv2importtorchimportnumpy
  • 2024-05-15SD安装adetailer插件
    在线安装,这个地址是国内备份的,要比git快一点安装后重启下载模型,放到models\adetailer地址https://huggingface.co/Bingsu/adetailer/tree/main看情况,只修复人脸的话,就只下载face的然后画图的时候勾选Adetailer比如修复人脸detailface
  • 2024-05-14Hugging Face 与 Wiz Research 合作提高人工智能安全性
    我们很高兴地宣布,我们正在与Wiz合作,目标是提高我们平台和整个AI/ML生态系统的安全性。Wiz研究人员与HuggingFace就我们平台的安全性进行合作并分享了他们的发现。Wiz是一家云安全公司,帮助客户以安全的方式构建和维护软件。随着这项研究的发布,我们将借此机会重点介绍
  • 2024-05-12hugging face的用法
    1、Transformers库transformer系的各种LLM模型被封装在了Transformers库里(后来又有了其他很多模型),直接调用就能下载模型并使用。核心是用pipline(pipeline()函数是使用预训练模型进行推理的最简单方式。它能够跨不同模态处理多种任务),然后指定模型,输入,输出。这个pipeline跟pytorch
  • 2024-05-08Face Detection API
    一个针对图像中的人脸进行识别的底层加速平台组件<!DOCTYPEhtml><htmllang="en"><head><metacharset="UTF-8"/><metaname="viewport"content="width=device-width,user-scalable=no,initial-scale=1.0"
  • 2024-05-07Ryght 在 Hugging Face 专家助力下赋能医疗保健和生命科学之旅
    本文是Ryght团队的客座博文。Ryght是何方神圣?Ryght的使命是构建一个专为医疗保健和生命科学领域量身定制的企业级生成式人工智能平台。最近,公司正式公开了Ryght预览版平台。当前,生命科学公司不断地从各种不同来源(实验室数据、电子病历、基因组学、保险索赔、药学、
  • 2024-04-28[Python急救站]人脸识别技术练习
    这段时间做了一个用于初学者学习人脸识别系统的程序,在上代码时,先给说说事前准备:首先我们需要一个OpenCV的一个haarcascade_frontalface_default.xml文件,只要去GitHub上面即可下载:https://github.com/opencv/opencv点击Code,选择DownloadZIP,下载后解压在目录下opencv-4.x\data\ha
  • 2024-04-24Python基于opencv实现的人脸识别--入门项目
    先去opencv官网下载人脸识别的训练集https://opencv.org/releases/解压目录要记录主要使用haarcascade_frontalface_default.xml摄像头录入人脸(可选)可以弄一个文件夹,里面放一堆图片importcv2face_name='xxxx'#该人脸的名字#加载OpenCV人脸检测分类器face_cas
  • 2024-04-19基于face_recognition实现的人脸识别功能
    环境Python3.11.8dlib==19.24.4opencv-python==4.9.0.80numpy==1.26.4face_recognition==1.3.0通过本地图片采集人脸编码importosimportcv2importface_recognitionencode_list=[]image_field_path=os.path.join('.','images')images_fil
  • 2024-04-18Hugging Face Transformers 萌新完全指南
    欢迎阅读《HuggingFaceTransformers萌新完全指南》,本指南面向那些意欲了解有关如何使用开源ML的基本知识的人群。我们的目标是揭开HuggingFaceTransformers的神秘面纱及其工作原理,这么做不是为了把读者变成机器学习从业者,而是让为了让读者更好地理解transformers从而能
  • 2024-04-14Fedora 39 修复 libreoffice 启动时报错 undefined symbol: hb_graphite2_face_get_gr_face
    .....之前Libreoffice是好的,今天打开Excel文档的时候启动画面一闪而过,然后就没有然后了。于是我尝试使用命令来启动,发现报错:>$libreoffice/usr/lib64/libreoffice/program/soffice.bin:symbollookuperror:/usr/lib64/libreoffice/program/libvcllo.so:undefin
  • 2024-04-10Hugging Face 轻松入门
    HuggingFace是一个在自然语言处理(NLP)领域非常受欢迎的公司和社区。它为开发者提供了一系列工具,使得部署和使用最新的NLP技术变得更加简单。它的开源Transformers库在自然语言处理社区中非常受欢迎,尤其对一些自然语言处理任务(NLP)和自然语言理解(NLU)任务非常有效。Transformers库