• 2024-09-14IP核学习之判断自定义ram与xilinx_sdpram_00reg_64x36IP核的功能是否一致
    xilinx_sdpram_00reg_64x36IP核是一个简单的64个地址,每个地址存36位数据且没有输出寄存器的双端口ram,以下是自定义ram的代码,接口与该IP核的接口设定一致:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.NUMERIC_STD.ALL;entitysdpram_64x36_testisPort(
  • 2024-08-20IP核之ROM
     创建.coe文件MEMORY_INITIALIZATION_RADIX=16;//表示数据格式为16进制MEMORY_INITIALIZATION_VECTOR=12,//每个数据之间用逗号或空格或换行符隔开。34,56,78,AB;//最后一个数据用分号结束。读取.coe文件并存用数据//固存3个ROM*******************************/