• 2024-06-24XILINX set_property BITSTREAM.CONFIG.CONFIGRATE
    起因在一个新的XILINXXCKU040FPGA设计中,SPI支持X8模式,此时BIT生成约束如下:#thehardwaresupportspix8,willbootfasterfromflash#set_propertyBITSTREAM.CONFIG.SPI_BUSWIDTH8[current_design]#set_propertyCONFIG_MODESPIx8[current_design]set_property