• 2024-07-17SciTech-EECS-电设计- PCB设计-电路设计与仿真系统 + SPICE 仿真描述与模型
    电路设计与仿真系统用户可以通过"仿真系统"轻松的观察“电路行为”的“即时状态”。是因为“电子电路设计与仿真系统”集成了原理图编辑器,仿真引擎,波形显示等功能,"仿真系统"通常也会涵盖“扩展模型”以及电子“元器件库”:"扩展模型"主要包括IC(集成电路)专用的"晶
  • 2024-06-14ncverilog与finesim联合进行混合仿真的详细过程(以spice为顶层)
    第一步:Makefile仿真命令one:ncverilog+access+rwc+nc64bit+loadvpi=finesim.so:finesim_startup-frun.f第二步:环境结构(1)以模拟为顶层,顾名思义是把CDL网表中某一个模块替换为数字的function,其余全是CDL,以上图为例,把其中inv替换为数字的function。(2)需要文件:testben
  • 2024-04-17将商用器件的spice模型导入到Cadence Virtuoso中仿真
    需要的文件和软件器件的SPICE网表文件(后缀为.cir)CadenceVirtuosoLinux端文本编辑器SPICE网表文件有的器件商家可能提供的模型是PSPICE。PSPICE只是CadenceSPB套件的仿真器而已,内核都是SPICE。下载好SPICE器件模型(.cir文件)之后,应该打开看一眼,熟悉一下网表文件的构成。如
  • 2024-04-07SPICE/SpiceyPy学习记录整理(一)-- SPICE概述
    目录一、SPICE概述二、SPICE内核文件三、SpiceToolkitSoftware介绍四、基础概念4.1前言4.2时间4.2.1概念4.2.2时间系统4.3参考系4.3.1参考系的种类4.3.2J2000坐标系与ICRF坐标系的对比4.4坐标系4.5状态4.5.1状态矢量4.5.2坐标转换4.6像差校正
  • 2024-04-07SPICE/SpiceyPy学习记录整理(二)-- 工具包安装与介绍
    目录一、获取、安装和引用SPICEToolkit1.1获取SPICEToolkit1.2安装SPICEToolkit1.3检查是否安装成功二、Toolkit介绍2.1工具包架构2.2MatlabToolkit--Mice 2.3Toolkit特点2.4工具包目录结构三、ToolkitLibrary介绍3.1 ToolkitLibrary概述3.2 Tool
  • 2024-03-06tryhackme-Spice Hut(香料小屋)
    信息收集通过开放端口可以发现21端口和80端口可以进行下手,首先对21端口进行匿名用户访问测试登录成功将important.jpg和notice.txt文件下载,ftp目录并没有文件,但是通过权限的观察可以进行写入(也就是上传文件),后续可能用到通过查看notice.txt得到一些管理员的信息,大概意思是不
  • 2024-01-18电力电子仿真工具——LTSpice
    LTSPICE的是ADI旗下一款免费的SPICE类仿真软件,有的时候,可以免费使用,对工程师、学生来说就是胜过千言万语的。SPICE型仿真和PLECS有点不同,它是由器件厂家用伪代码,可以理解为一些方程函数把它家的器件或者子系统的特性描述出来,封装成库函数给器件应用者,这样对于使用者来说,就可
  • 2023-04-27Image captioning常用的指标
    1.n-gram是什么?n-gram是自然语言处理中常用的一种模型,它是指由n个连续的词组成的序列。例如,在句子"Ilovenaturallanguageprocessing"中,1-gram可以表示为{“I”,“love”,“natural”,“language”,“processing”},2-gram(也称为bigram)可以表示为{“Ilove”,“lovenatura
  • 2023-04-02Spice模型和IBIS模型的区别
    Spice模型的特点Spice模型是进行电路级仿真的。电流,电压,电容等节点的具体参数是从元器件图形、材料特性得来,是建立在低级数据的基础上,每个BUFFER中的元器件分别被描述和仿真。仿真模型包含了详细的芯片内部设计信息。但仿真速度比较慢,只适用于电路级的设计者。IBIS模型的特点IBIS
  • 2023-03-25如何在 GNOME Boxes中的宿主机和客体机之间共享一个文件夹
    导读使用下面的步骤在GNOMEBoxes应用中的宿主机和客体机之间共享一个文件夹。GNOMEBoxes是一个创建和管理虚拟机的前端应用。它主要是为GNOME桌面开发的。
  • 2022-11-16virtviewerUsbredirUSB重定向_F_hawk189_新浪博客
    编译virtviewer之前执行的configure命令,是没有使能usb-redir相关的功能,virtviewer是否支持usbredir是完全依赖于spice-gtk的。virtviewer对spice-gtk的依赖关系,可以从vi
  • 2022-11-02spice手动编写spice-client_F_hawk189_新浪博客
    在 ​​spice-gtk​​ 的帮助下,spiceclient的编写非常简单.以致于我在做SpiceServer的测试的时候,顺手写了一个简单的spiceclient.把下面的一些核心部分做一个
  • 2022-09-25立创EDA添加仿真模型小记
    绘制仿真符号类同原理图,略添加器件仿真模型仿真模型代码简介Proteus的SPICE模型[https://www.cnblogs.com/lsgxeva/p/14141237.html]Proteus主要使用使用符合SPICE