• 2024-07-04Coding:小写一个debugfs
    Coding:小写一个debugfs​ 上一次整活还是在上一个月,写了一个简单的module并且熟悉了module的挂载查看和卸载。这一次我们自然玩一个大的,就是利用linux的debugfsAPI写一个调试文件系统。​ 事实上,底层的API全写好了,我们就是简单的调调API就成的事情!事先检查​ 第一步是检查我
  • 2024-07-04关于conftest和fixtures
    一、conftest.py文件作用:存放case的前提条件和后置条件配置函数;一般该类函数都会使用fixture装饰(fixture该篇第二点会介绍);使用conftest里面的函数时不需要导入conftest.py这个文件。只需将函数名作为变量传入test_case即可调用;使用conftest.py的规则:特点:conftest
  • 2024-07-03java模块——使用 47M 的java环境运行HelloWorld
    前言我们知道,运行java程序需要jre或jdk环境,但是现在的jdk安装包已经很大了,如果我们的程序很简单,并且需要把程序发送给其他没有jdk环境的人的运行要如何做呢?如何精简我们的程序包呢?从java9开始的模块功能为我们提供了解决方案。Java库设计者现在可以将代码清晰地划分为模块,这
  • 2024-07-02轻松调用其他工程的Python文件,提升编程效率
    哈喽,大家好,我是木头左!一、前言在Python开发过程中,经常会遇到需要在一个工程中调用另一个工程的Python文件的情况。这种情况通常发生在需要复用已有代码或者进行模块化开发时。那么,如何实现这一目标呢?本文将为你揭晓答案。二、Python模块导入原理在Python中,可以使用import语
  • 2024-07-02模拟量输出模块IS215WETAH1BB丨IS200WETAH1AGC
    IS215WETAH1BB是一个模拟量输出模块,具有15个端口,包括10个千兆以太网端口和5个千兆SFP端口,支持自动协商和MDI/MDIX功能。此外,它还被描述为一个安全控制模块,适用于工业自动化控制系统,具有高精度、高可靠性和快速响应的特点TheIS215WETAH1BBisananalogoutputmodulewith1
  • 2024-06-24debian11 hexo+nginx 配置https
    环境准备站点服务器:Debian11个人PC:Vscode,nodejs,git,xshell远程工具这里的站点服务器可以是云服务器,也可以实体机子,我这里使用家里的NAS-unraid开了一台Debian11虚拟机,虚拟机用任意linux发行最新版本均可。因为某些原因需要去熟悉Debian,发现在Debian系统上编辑文档
  • 2024-06-23vite构建的react+ts项目中使用less
    下载less依赖npminstallless组件很多之间可能有类名相同,导致样式冲突,因此制定导出规则,给类名加上hash值。在vite.config.ts配置中添加以下规则。css:{modules:{hashPrefix:'prefix',generateScopedName:'[name]__[local]__[hash:base64:5]',}
  • 2024-06-23nginx-过滤模块
    过滤模块简介执行时间和内容过滤(filter)模块是过滤响应头和内容的模块,可以对回复的头和内容进行处理。它的处理时间在获取回复内容之后,向用户发送响应之前。它的处理过程分为两个阶段,过滤HTTP回复的头部和主体,在这两个阶段可以分别对头部和主体进行修改。在代码中有类似的函
  • 2024-06-22openfly:基于nginx的4层代理管理平台
    简介作者:京城郭少基于nginx的4层代理管理平台支持的功能:被动健康检查白名单include导入文件哈希backup冗余互备weight权重注释......部署openfly部署nginx:目标:部署一个支持stream模块的nginx。步骤仅供参考,可自行发挥。systemctlstopfirewalldsystemct
  • 2024-06-22caddy 模块(module) caddyhttp Start启动逻辑分析
     ./modules/caddyhttp/app.gofunc(app*App)Start()error{//xx} Start方法属于一个自定义的App结构体,用于启动应用程序中的多个HTTP服务器实例。下面是对该方法的主要逻辑和关键步骤的详细分析:1.日志设置:首先,通过zap.NewStdLogAt创建一个兼容
  • 2024-06-21nodejs从基础到实战学习笔记-模块化、包
    二、模块化2.1什么是模块化模块化是指解决一个复杂问题时,自顶向下逐层把系统划分成若干模块的过程。对于整个系统来说,模块是可组合、分解和更换的单元。2.1.1把代码进行模块化拆分的好处提高了代码的复用性提高了代码的可维护性可以实现按需加载•如果程序设计的规
  • 2024-06-21nginx精准禁止特定国家或者地区IP访问
    1、安装依赖dnf-yinstallgcc-c++libtoolgd-develpcrepcre-developensslopenssl-develzlibzlib-devellibmaxminddb-develpcre-develzlib-develgccgcc-c++makegit2、获取NGINX安装包并安装wgethttps://nginx.org/download/nginx-1.26.1.tar.gzgitclo
  • 2024-06-20【YOLOv8改进】CAFM(Convolution and Attention Fusion Module):卷积和注意力融合模块
    摘要摘要——高光谱图像(HSI)去噪对于高光谱数据的有效分析和解释至关重要。然而,同时建模全局和局部特征以增强HSI去噪的研究却很少。在本文中,我们提出了一种混合卷积和注意力网络(HCANet),该网络结合了卷积神经网络(CNN)和Transformers的优势。为了增强全局和局部特征的建模,我们设计了
  • 2024-06-19nginx增加 nginx-vod-module模块实现MP4视频点播
    一、安装Nginx需要提前以预编译方式安装好Nginx具体安装步骤点击下面链接:预编译方式安装nginx二、下载nginx-vod-module模块nginx-vod-module模块是基于nginx来提供VOD(videoondemand)服务的第三方模块,它支持基于DASH、HDS、HLS、MSS的点播服务搭建。nginx-vod-module下
  • 2024-06-15自动驾驶 Apollo 源码分析:ProcessMonitor
    自动驾驶 Apollo 源码分析:ProcessMonitor本篇文章分析 Apollo 中监控模块中监控进程状态的相关代码。附赠自动驾驶最全的学习资料和量产经验:链接1. ProcessMonitorProcessMonitor 是一个普通的定时器组件,内部函数也只是常规的 RunOnce 和 UpdateStatus,所以,
  • 2024-06-14Nginx优化与防盗链
    一、nginx优化1、配置Nginx隐藏版本号隐藏Nginx版本号,避免安全漏洞泄漏Nginx隐藏版本号的方法    (1)修改配置文件    (2)修改源码  (1)修改配置文件将nginx配置文件中server_tokens选项的值设置为offvim/usr/local/nginx/conf/nginx.confhttp{in
  • 2024-06-14NGINX编译安装sticky
    提醒:目前sticky支持nginx22及以下版本,新版我试了24和26均会编译报错1、下载nginx安装包2、解压进到编译目录,有configure的目录,执行以下命令./configure\--prefix=/usr/local/nginx\--with-file-aio\--with-threads\--with-http_addition_module\--with-http_auth_reques
  • 2024-06-14nginx安装
    sudoapt-getupdatesudoapt-getinstall-ybuild-essentiallibpcre3libpcre3-devzlib1gzlib1g-devlibssl-devwgetgccmakesudoapt-getinstall-ylibmaxminddb-devlibmaxminddb0mmdb-bincd/usr/localsudowgethttp://nginx.org/download/nginx-1.18.0.ta
  • 2024-06-13前端小白也能懂:ES模块和CommonJS的那些事
    在JavaScript的世界中,模块化是构建大型应用的关键。ES模块(ESM)和CommonJS是两种主流的模块系统,它们各自有着不同的特性和使用场景。你了解它们的区别吗?ES模块(ESM)ES模块是ECMAScript官方标准的一部分,它使用import和export语句来导入和导出模块。ES模块是JavaScript
  • 2024-06-13nginx编译安装-麒麟v10Arm64
    环境信息操作系统:KylinLinuxAdvancedServerV10(Lance)架构:Armkeepalived版本:2.3.1编译安装依赖包yuminstallgccgcc-c++makeunzippcrepcre-develzlibzlib-devellibxml2libxml2-develreadlinereadline-develncursesncurses-develperl-develperl-ExtU
  • 2024-06-12DllPlugin
    什么是DLLDllPlugin和DllReferencePlugin提供了拆分包的方法,可以极大地提高构建时性能。术语DLL代表动态链接库,它最初是由Microsoft引入的。.dll为后缀的文件称为动态链接库,在一个动态链接库中可以包含给其他模块调用的函数和数据把基础模块独立出来打包到单独的动态连接库
  • 2024-06-12mybatis-plus加载多个module的mapper踩坑记录
    背景 有一个多模块的项目,每个模块中都有自己的mapper.xml文件。但是在执行一次SQL查询中,mybatis却报出了下面的异常 排查过程第一步,先检查mapper扫描是否正确 先找到这个方法的位置 可以看到包名是com.pinming.security.responsibility.mapper 检查SpringBoot
  • 2024-06-113G2A5-ID218 Input Module
    变频器电源变频器电源主要用于交流电机的变频调速,其在电气传动系统中占据的地位日趋重要,已获得巨大的节能效果。变频器电源主电路均采用交流-直流-交流方案。工频电源通过整流器变成固定的直流电压,然后由大功率晶体管或IGBT组成的PWM高频变换器, 将直流电压逆变成电压、频
  • 2024-06-10在centos7.9下编译安装nginx1.16.1带fancyindex
    在centos7.9下编译安装nginx1.16.1带fancyindex文章目录前言一、安装环境centos7.9/nginx1.16.1/ngx-fancyindex-0.4.4二、需要达到的效果1.默认效果2.安装主题效果三、nginx编译安装1.安装依赖工具2.创建目录并下载Nginx及其模块3.运行编译与安装4.配置环境变
  • 2024-06-10【驱动】Linux内核调试之使用模块参数
    环境:处理器架构:arm64内核源码:linux-6.6.29ubuntu版本:20.04.1代码阅读工具:vim+ctags+cscope本文主要介绍内核开发中常用的模块传参手段,通过模块参数传递可以通过用户态来获取内核的一些信息,也可以通过用户态写入一些值来控制内核相关行为。一般内核开发者很喜欢使用模块传参