• 2024-09-28C++ Practical-2 day2 运算符重载之时钟类++运算符
    系列文章目录点击直达——文章总目录文章目录系列文章目录C++Practical-2day2运算符重载之时钟类++运算符Overview1.时间类重载后缀`++`运算符来递增时间1.1.解释1.2.注意事项2.如何确保时间递增操作在多线程环境中是线程安全的?关于作者C++Practical-2day
  • 2024-09-28各种CLOCK算法
    这篇文章的背景是操作系统里的页缓冲。LRU要求每次访问某个页的时候都进入trap,由操作系统把这个页放到栈顶。显然这是不能接受的。所以一般采用CLOCK算法或者其变种,其特点是在hit的时候,只需要由硬件执行一个很简单的操作(通常是设置访问位),把这次访问记录下来即可。然后在合适的时
  • 2024-09-20SPI协议
    1、简介​SPI协议是一种高速全双工同步串行通信协议,由一个主设备和一个或多个从设备组成。​四线协议:MISO(MasterInputSlaveOutput)/SDI(SerialDataInput)、MOSI(MasterOutputSlaveInput)/SDO(SerialDataOutput)、SCLK(SynchronousClock)、CS(ChipSelect)1、MISO,主
  • 2024-09-18formality:antenna cell被看做black box引起的verify failed原因分析
    我正在「拾陆楼」和朋友们讨论有趣的话题,你⼀起来吧?拾陆楼知识星球入口跑formality对比pr前后网表一致性时发现verifyfailed,打开gui,tracefailed的点发现pr后的网表因为在clocktree上插入了antennacell,而antennacell此时是blackbox,导致clocktree无法trace到clockso
  • 2024-09-14clock gate为什么不直接使用与门来搭建?
      对于一块芯片,其“耗电”大户其实是clocktree。由于clock翻转频率较高,所以其动态功耗会特别高。为了解决这个耗电大户,我们在某些模块不工作时,将clock给“断”开。其本质就是让此模块的寄存器的ckpin的clock信号不再翻转。    那么怎么让ckpin上的clock信号不再翻
  • 2024-09-12为什么先进工艺需要check那么多corner?
      越先进的工艺,其制造生产是偏差也越大。所以导致了了很多corner的产生。如RCcorner有最基础的rcworst、cworst、rcbes和cbest情况。有的foundry还会对rc的取值范围进行了约束,如cworst_T,采用的是1.5sigma的取值范围。    此外,工艺越先进,mos管的工作电压也会随之降低
  • 2024-09-07C++(clock())
    目录1.clock_t2.clock()2.1函数定义3.示例4.注意事项在C++中,clock_t和clock()是与时间度量和性能测量相关的库函数,主要用于计算程序运行的时间。1.clock_tclock_t是在<ctime>或<time.h>中定义的一个类型,通常用于存储由clock()返回的处理器时间值。这个类型
  • 2024-09-05Vivado 时序约束篇
    简介    本章节针对大部分情况下的信号做时序约束分析    正所谓无设计不仿真,其实也可以说无设计不约束,正因为设计工程有约束的存在才可以保证设计的代码稳定性和可靠性高。    本文就vivado给出的原语约束进行总结。    打开Vivado,找到约
  • 2024-08-25【xilinx】Vivado : 解决 I/O 时钟布局器错误:Versal 示例
    示例详细信息:设备: XCVM1802VersalPrime问题:尽管使用CCIO引脚作为时钟端口,但该工具仍返回I/O时钟布局器错误错误:<spanstyle="background-color:#f3f3f3"><spanstyle="color:#333333"><code>ERROR:[Place30-675]Sub-optimalplacementforaglobalclock-ca
  • 2024-08-23c++ chrono头文件内Duration 、time_point和Clock
    前言        http://t.csdnimg.cn/eprLZ中介绍了关于chrono的基本操作,本文来介绍chrono与其他类的关系。内容Duration    duration表示一段时间间隔template<classRep,classPeriod=std::ratio<1>>classduration        Rep表示持续时
  • 2024-08-22C++初学(14)
    14.1、while循环和for循环相比,while循环没有初始化和更新部分,它只有测试条件和循环体。while(text-condition)body首先程序计算圆括号内的测试条件(text-condition)表达式。如果该表达式为ture,则执行循环体中的语句。和for循环一样,循环体也由一条语句或两个花括号定义的
  • 2024-08-22Spyglass cdc check报的errors
    1.report clocksignalsconvergingonamuxslave_adc是在mclk下进行同步,adc_bclk_i则是来自外部,因此切换bclk可能导致毛刺。可以通过切换之前先关闭后级的相关模块。 2.flagsaclocksinalwhosemulti-fanoutsconverge不太清楚要不要解决3.Ac_unsync01(3):Check
  • 2024-08-15Markov Chain
    Anaturalwaytodealwithuncertaintyistointroduceprobabilisticrules. Inthesimplestcase,wecanimagineanFSM-likedevicehavingnocommands butclockticksassociatedwithprobabilities(seeFigure7.10). Thisdevicestartsitsoperationin
  • 2024-08-15计算函数耗时
     C++计算函数耗时的类。在需要计算耗时的类里面,定义这个类的对象即可。#ifndef__ELAPSE_MILLSEC_H__#define__ELAPSE_MILLSEC_H__//#include<iostream>#include<chrono>#include<iomanip>//用于设置输出流的格式usingnamespacestd;//计算耗时class
  • 2024-08-04GD32 MCU硬件I2C不可靠不如软件I2C?
    在一个评论中,看到网友对硬件I2C的讨论,硬件I2CBusy找不到原因、软件I2C稳得一批。那么为什么会出现I2CBUSY?硬件I2C真的不如软件I2C吗?怎么让硬件I2C也稳得一批,让我们来一探究竟。首先我们从I2C时序分析下I2C总线挂死是如何产生的。我们来看下I2C的时序和流程:所以总线挂
  • 2024-07-31S32G3任务抢占
    通过S32G3的STM定时器实现任务任务抢占1、创建一个空工程 2、创建完成后先生成一版代码  3、编译 4、添加user文件夹来存放自己的代码my_os.h/*******************************************************************************************************
  • 2024-07-29MPsoc TSN网络功能设计及测试 (petalinux22.2)
    TSN参考链接:linkxilinx内核TSNkernel代码链接:linkpetalinux-config-ckernel选择如下:TSNPL端设备树配置代码tsn0_axi_firewall_0:axi_firewall@a0020000{ clock-names="aclk"; clocks=<&misc_clk_0>; compatible="xlnx,axi-firewall-1.2&qu
  • 2024-07-20Python学习笔记38:进阶篇(二十七)pygame的使用之时间与帧数控制
    前言基础模块的知识通过这么长时间的学习已经有所了解,更加深入的话需要通过完成各种项目,在这个过程中逐渐学习,成长。我们的下一步目标是完成pythoncrashcourse中的外星人入侵项目,这是一个2D游戏项目。在这之前,我们先简单学习一下pygame模块。私信我发送消息python资料,
  • 2024-07-18clock gating check两种类型归类
    前言:之前我一直以为clockgatingcheck只涉及AND/ORgate,直到前阵子后仿发现了minwidthpulseviolation,才从后端口中了解到MUX作为gatingcell也可以做clockgatingcheck。TO后对此研究了一番,先把基本概念搞清楚放这儿,后面再写解决该violation的两个方法。clock_gating_che
  • 2024-07-16C++获取当前毫秒数
    转自https://www.cnblogs.com/c9080/p/17509268.html,在C++11中,可以使用<chrono>头文件中的std::chrono::system_clock类来获取当前时间戳。它提供了多种精度和分辨率的时钟类型,其中最常用的是系统时钟。以下是一个示例程序,演示如何使用std::chrono::system_clock类获取
  • 2024-07-14C++11时间工具<chrono>梳理
    目录<chrono>时间间隔duration常用的duration时间点time_point时钟system_clock&steady_clocksystem_clock代码举例steady_clock例程:转换函数1.duration_castDescription:duration支持隐式转换的规则2.time_point_cast<chrono>C++11中提供了日期和时间相关的库chrono。chro
  • 2024-07-12OCC控制逻辑重点详细讨论
    occ是什么:自己看manu为了控制fastclock,我们加入了OCC(on-chipclockcontrol)模块,他的作用如下:为每个clockdomain提供独立的控制根据pattern的情况为capturecycle分发正确数量的脉冲更加干净的在shiftclock和fastclock之间进行切换;根据测试的类型,判断capture
  • 2024-07-12AC_patterns的生成过程
    at-speed技术用来测试电路正常工作的时序是否有问题,进而确定电路能否正常工作。这种技术测试的故障叫做transitionfault,同样这种技术也叫transitionfaulttest。想要实现这种技术,一对测试pattern(V1,V2),需要应用到测试电路当中(CircuitUnderTest,CUT)。V1用来初始化电
  • 2024-07-09比较两种计算1到n的累加和的算法的执行效率,理解算法的时间复杂度分析和代码性能优化
    一、实验目的:通过这个实验,旨在比较两种计算1到n的累加和的算法的执行效率,进一步理解算法的时间复杂度分析和代码性能优化。    二、实验内容:1.编写两个函数Sum1和Sum2,分别用于计算1到n的累加和;2.在主函数中调用这两个函数,并通过循环计算1到n的各个累加和;3.使用cloc
  • 2024-07-08物理设计 (Physical design)
    ASIC设计全流程:ASICBack-endFlowRef:IC入门必备!数字IC中后端设计实现全流程解析(1.3万字长文)PhysicalDesign:Physicaldesign:convertnetlist(.v)intoGDSIIform(layoutform)Duringphysicaldesign,allmacros,cells,gates,transistors,etc.,withfixedshap