• 2024-07-05FPGA加扰与仿真
    对加扰仿真,输出结果符合预期 仿真代码如下 modulescrambler_64bit(inputwireclk,inputwirerst,inputwire[63:0]data_in,outputreg[63:0]data_out);reg[63:0]state;always@(posedgeclkorposedgerst)beginif(rst)begin