• 2024-01-26一生一芯-verilator仿真环境
    1.参考:【一生一芯】搭建verilator仿真环境-老吴家的小阿哲-博客园(cnblogs.com)2.verilator探幽(1)verilator工作原理(2)一个简单的例子1.将verilog代码写入文件top.v2.将C++代码写入文件sim_main.cpp3.使用下面的命令来运行Verilator:verilator--cc--exe--build-
  • 2024-01-26一生一芯-Linux环境搭建
    1.gdb调试1.gdb-qFilename进入gdb调试器2.(gdb)b3 在第三行添加断点 breakpoint3.(gdb)run 执行程序4.(gdb)whatisiNum 查看iNum的数据类型5.(gdb)c 继续执行程序(直到下一个断点或者之后没有断点就运行完整个程序)6.(gdb) n 一步一步执行程序7.(gdb)piNu
  • 2023-03-09流水线处理器 [第五期“一生一芯”计划 - P21]
    啊 第一类:缓存第二类:功能单元(乘除法器)第三类:并行/预测第四类:指令流水线       
  • 2023-01-10一生一芯/NEMU PA3.1随笔
    保存上下文处理异常的时候需要保存寄存器内容(上下文的一部分),需要将这些内容保存下来。但是硬件不负责这些内容的保存,因此需要用软件代码来保存这些寄存器的值。riscv采用s
  • 2022-10-17一生一芯第五课 “程序的执行和模拟器”
    感谢yzh大神的分享!!!!gcc的几个选项:-ffreestanding(表示编译出的程序将在裸机上运行)(注意:freestanding运行时环境默认的入口是_start())Assertthatcompilat