RS触发器可以由两个与非门构成,把两个与非门的输入端与输出端交叉连接,即可构成RS触发器,真值表如下:
RS触发器真值表 输入 输出 R S Q QN 1 0 1 0 0 1 0 1 1 1 不变 不变 0 0 不变 不变
一RS触发器的电路符号
二、代码
module RS(q,qn,s,r);
input s,r;
output q,qn;
reg q,qn;
reg q1,qn1;
always @(*)
begin
q1<=~(s&qn1);
qn1<=~(r&q1);
q=q1;
qn=qn1;
end
endmodule
三、仿真代码
`timescale 1ns/1ns
module RS_tb;
reg s;
reg r;
wire q;
wire qn;
RS u (
.s(s),
.r(r),
.q(q),
.qn(qn)
);
initial begin
// 初始化输入
s = 0;
r = 0;
#20; // 等待10ns
// 测试RS触发器的各个输入组合
s = 1; r = 0; // 置位
#20;
s = 0; r = 1; // 复位
#20;
s = 1; r = 1; // 非法状态,应该保持不变
#20;
s = 0; r = 0; // 保持
#20;
// 测试完成,结束仿真
$finish;
end
endmodule
四、仿真结果
标签:仿真,触发器,20,RS,Quartus,Modelsim,reg,qn From: https://blog.csdn.net/2201_75415349/article/details/143165979