首页 > 其他分享 >RS触发器(Quartus与Modelsim联合仿真)

RS触发器(Quartus与Modelsim联合仿真)

时间:2024-10-25 21:16:25浏览次数:3  
标签:仿真 触发器 20 RS Quartus Modelsim reg qn

RS触发器可以由两个与非门构成,把两个与非门的输入端与输出端交叉连接,即可构成RS触发器,真值表如下:

RS触发器真值表
输入输出
RSQQN
1010
0101
11不变不变
00不变不变

一RS触发器的电路符号

二、代码

module RS(q,qn,s,r);
input s,r;
output q,qn;

reg q,qn;
reg q1,qn1;

always @(*)
begin 
q1<=~(s&qn1);
qn1<=~(r&q1);
q=q1;
qn=qn1;
end
endmodule 

三、仿真代码

`timescale 1ns/1ns

module RS_tb;
reg s;
reg r;

wire q;
wire qn;

RS u (
   .s(s),
   .r(r),
   .q(q),
   .qn(qn)
);

initial begin
    // 初始化输入
    s = 0;
    r = 0;
    #20; // 等待10ns

    // 测试RS触发器的各个输入组合
    s = 1; r = 0; // 置位
    #20;
    s = 0; r = 1; // 复位
    #20;
    s = 1; r = 1; // 非法状态,应该保持不变
    #20;
    s = 0; r = 0; // 保持
    #20;
    
    // 测试完成,结束仿真
    $finish;
end 
endmodule 

四、仿真结果

标签:仿真,触发器,20,RS,Quartus,Modelsim,reg,qn
From: https://blog.csdn.net/2201_75415349/article/details/143165979

相关文章

  • SubPT+NFL:Understanding and Mitigating Overfitting in Prompt Tuning for Vision-La
    当前提示学习的问题(a)Top:在CoOp和CoCoOp的训练过程中,基类的测试准确率先提高后下降。(b)底部:新类别的测试精度不断下降,远低于零样本CLIP。为什么CoOp会过度拟合根据第4-A节给出的观察结果,我们从早期和后期训练阶段({......
  • The 2023 CCPC (Qinhuangdao) Onsite / The 2nd Universal Cup. Stage 9: Qinhuangdao
    B.YetAnotherSubsequenceProblem题意:按照给定方式生成01串,求本质不同子序列个数,生成方式可以理解为从\((0,0)\)沿折线走到\((A,B)\),若在折线上方或在折线上,就往右走(\(0\)),否则往上走(\(1\))。套路地设\(f_{i,0/1}\)前\(i\)个数以\(0/1\)结尾的不同子序列个数,显然可......
  • floyd-warshall算法
    Floyd-warshall算法问题描述图的最短路径问题,多源最短路径问题求解算法思路设Dijk为从i到j的只以(1...k)集合为中间节点的最短路径的长度,Dijk=min(Dijk-1,Dikk-1+Dkjk-1)若最短路径经过点k,则Dijk=Dikk-1+Dkjk-1;若最短路径不经过点k,则Dijk=Dijk-1python......
  • 第九届中国大学生程序设计竞赛 深圳站(CCPC 2023 Shenzhen Site)/ The 2nd Universal Cu
    D.BotBrothers题意:有一棵\(n\)个点的树,\(m\)个叶子,编号为\(1\simm\)。两人在树上博弈,均从根出发,轮流行动,每次走向一个当前所在节点的子节点,如果在叶子就不移动。最终如果两人所在叶子编号一个是另一个\(+1\)(\(\pmodm\)意义下),则\(+1\)的一方获胜。观察到先手不可能......
  • DA-CLIP-universal-image-restoration代码详解
    DA-CLIP-universal-image-restoration代码详解创建模型model=create_model(opt)device=model.devicecreat_model最终指向ConditionalUNet类,类的主要结构如下:classConditionalUNet(nn.Module):def__init__(self,in_nc,out_nc,nf,ch_mult=[1,......
  • 华企盾【运维利器】PowerShell自动磁盘清理脚本代码
    在日常工作中,磁盘空间不足是一个常见且令人头疼的问题。为了解决这一问题,我们可以使用PowerShell编写一个自动磁盘清理脚本。该脚本旨在监控特定目录(如E:est),并在可用空间低于设定阈值(20%)时自动删除最旧的文件,以确保磁盘空间的有效利用。脚本的基本逻辑是每分钟检查一次磁盘的使......
  • springboot:test类中的UserService无法自动装配,解决方案
    检查Service类遇到这种问题一般先检查你的Service是否有bean即有无用@Service注释,或者有无其他service的bean配置漏了在这里是已经有注释了那么可能就是spring启动的时候没有识别到我的bean检查启动文件在扫描路径中少了我的service包所在的路径packagecom.tutor......
  • CAN201 In Class Test 1 Thursday Session
    CAN201InClassTest1ThursdaySession2MultiplayerNumberGuessingGame(UDPSockets)ObjectiveThisinclasstestisrequiredtousePythonforsocketprogramming.Youwillcreateamultiplayer“numberguessing”gameusingUDPsocketsprogramming,wi......
  • blender4.2 插件安装 auto-rig 报错'bpy.app' object has no attribute 'version_char
     找到安装的插件位置的version.py文件我的在  "C:\Users\zyz\AppData\Roaming\BlenderFoundation\Blender\4.2\scripts\addons\auto_rig_pro-master\src\lib\version.py"可以参考一下 修改代码第8行的代码,#_char=bpy.app.version_char_char=getattr(bpy.app,'ver......
  • 群晖存储怎么设置Rsync
    服务器端设置Rsync共享在群晖管理端启用Rsync共享新增Rsync用户客户端使用客户端往服务器端发送文件前面参数是本地文件夹或文件后面参数是远程的文件或文件夹#rsync-av/etc/[email protected]::Backup/客户端从服务器端拉取文件反过来即可#rsync-a......