首页 > 其他分享 >MicroCap声学仿真介绍(四)-扬声器仿真

MicroCap声学仿真介绍(四)-扬声器仿真

时间:2024-07-26 09:59:31浏览次数:7  
标签:仿真 界面 MicroCap 如下 点击 模块 扬声器 OK 输入

今天介绍一下基于TS参数的扬声器仿真,在实际应用中,智能手表、喇叭单体障板测试、封闭式音箱的仿真工作均采用这种方式进行。
假设我们手头有一款喇叭单体,将其放置于容积为180cc的后腔中,我们来仿真这种情况下的喇叭声压级和阻抗曲线。
喇叭的TS参数如下表所示:
在这里插入图片描述
假设这个喇叭在1W的功率下工作,则输入电压U为Square(W*R)=2(V),后腔容积为180ml。

仿真需要的条件我们都列出来了,接下来我们来进行仿真的工作。

打开Microcap:
点击“文字“模块,如下图:
在这里插入图片描述
鼠标单击工作区,弹出如下界面:在弹出的界面中输入“.define Re 3.82 “,点击”确定“按钮
在这里插入图片描述
鼠标再次单击工作区,按照上面的步骤输入“Mms“的值:
在这里插入图片描述
按照同样的步骤输入其他参数:
在这里插入图片描述
点击“电源”模块,如下图:
在这里插入图片描述
将“电源“模块放置到工作区,如下图:
在这里插入图片描述
在弹出的界面中,设置电源电压为Volt,如下图:
在这里插入图片描述
电压值输入完成后,鼠标点击“Param:Hz=“,按照上一步骤,填入0,如下图:
在这里插入图片描述
点击左下角的“OK“按钮,”电源“模块设置完成,如下图:
在这里插入图片描述
以上操作都完成后,软件界面如下:
在这里插入图片描述
点击“电阻“模块,如下所示:
在这里插入图片描述
将“电阻“模块放置到工作区,弹出如下界面:
在这里插入图片描述
在“Value“里面输入“Re”,点击“OK”,如下图:
在这里插入图片描述
此时软件主界面如下:
在这里插入图片描述
此时工作区的电阻是竖直的,为了方便,我们需要将其变成水平的。首先鼠标单击工作区的电阻,然后同时按下键盘的ctrl+R,便可以调整方向:
在这里插入图片描述
鼠标左键按住电阻部件不松手,直到拖动到目标位置,如下图:
在这里插入图片描述
鼠标单击“BL”模块,如下图:
在这里插入图片描述
将“BL”模块放置到工作区,弹出如下界面:
在这里插入图片描述
在“Value”里面输入“BL_value”,并点击“OK”:
在这里插入图片描述
此时软件主界面如下:
在这里插入图片描述
点击“连线”模块,如下图:
在这里插入图片描述
将工作区的三个部件给连起来,如下图:
在这里插入图片描述
单击“接地”模块,如下图:
在这里插入图片描述
将接地模块放置于工作区电源的负极,如下图:
在这里插入图片描述
分别将电阻模块、电容模块、电感模块放置于主界面:
在这里插入图片描述
此时主界面如下:
在这里插入图片描述
双击新放置的“电阻”模块,在弹出的界面中输入阻值“Rms”,点击OK,如下图:
在这里插入图片描述
双击新放置的“电容”模块,在弹出的界面中输入阻值“Cms”,点击OK,如下图:
在这里插入图片描述
双击新放置的“电感”模块,在弹出的界面中输入阻值“Mms”,点击OK,如下图:
在这里插入图片描述
鼠标单击“IDEALTRA3”模块,如下图:
在这里插入图片描述
将“IDEALTRA3”模块放置到工作区,弹出如下界面:
在这里插入图片描述
在“Value”处输入“Sb”,点击OK:
在这里插入图片描述
点击OK后,主界面如下:
在这里插入图片描述
点击菜单栏中的“Component”,在弹出的选项中选择“Find Component”,如下图:
在这里插入图片描述
弹出如下界面:
在这里插入图片描述
在输入栏中输入“charge sensor”,点击“find”,如下图:
在这里插入图片描述
点击OK,将“charge sensor”模块放置到工作区,弹出如下界面:
在这里插入图片描述
点击OK,用“连线”模块,将新增加的几个模块连接起来:
在这里插入图片描述
单击“接地”模块,将接地模块放置到主界面:
在这里插入图片描述
点击“VOL”模块,将“VOL”模块放置到工作区,将扬声器后腔的体积输入到“Value”中,本次仿真后腔容积为180ml,输入完成后,点击OK,如下图:
在这里插入图片描述
点击OK后,鼠标单击工作区的“VOL”模块,同时按下ctrl+R,调整这个模块的方向,如下图:
在这里插入图片描述
单击“障板”模块,如下图:
在这里插入图片描述
将“障板”模块放置到工作区,“Param:AREAm2=”是指的是障板孔的面积,一般可以输入喇叭的面积Sb;“Param:DISTm=”指的是测试麦克风与障板的距离,这里我们可以填入1米,小喇叭可以填入0.1m;“Param:angledegrees=”是麦克风与障板的角度,一般测试麦克风都位于障板的正前方,所以一般这里填零就行;填入完成后,界面如下:
在这里插入图片描述
点击OK,点击“连线”模块,将新增加的模块连起来:
在这里插入图片描述
点击“文字”模块,在主界面输入“out”,如下图:
在这里插入图片描述
将“out”用鼠标拖到障板模块附近,如下图:
在这里插入图片描述
鼠标单击“analysis”菜单,在弹出的选项中选择“AC”
在这里插入图片描述
其中,频率范围为20~20KHz,仿真点数为500个点,先仿真频响曲线和相位曲线,点击左上角的run,界面如下
在这里插入图片描述
还可以仿真出阻抗曲线,可以按照下面界面设置:
在这里插入图片描述
在这里插入图片描述
从阻抗曲线可以看出,增加后腔后,F0从196Hz升高到了240Hz。
还可以仿真振膜的移动距离,在charge sensor输入DIS
在这里插入图片描述
在这里插入图片描述
可以把频响、相位、阻抗曲线、振膜位移同时显示,如下图:
在这里插入图片描述

标签:仿真,界面,MicroCap,如下,点击,模块,扬声器,OK,输入
From: https://blog.csdn.net/weixin_44316365/article/details/140707124

相关文章

  • 嵌入式虚拟仿真教学解决方案
    一、引言在信息技术浪潮的汹涌澎湃中,嵌入式系统作为智能化设备的核心引擎,其战略地位愈发凸显,成为驱动各行各业智能化转型与创新发展的关键力量。从智能家居的温馨便捷到智能医疗的精准高效,从工业控制的精细管理到交通系统的智能调度,嵌入式技术的广泛应用正深刻改变着我们......
  • 基于De-Jitter Buffer算法的无线网络业务调度matlab仿真,对比RR调度算法
    1.程序功能描述     去抖动缓冲器(动态缓冲技术)通常在用户终端的流式播放器处采用,以最小化分组延迟对用户体验的降级。然而,由于无线电信道的波动,在无线分组网络中,为流用户提供服务质量(QoS)仍然是一项具有挑战性的任务。在这个项目中,我们将提出一种去抖动缓冲区感知调度器,......
  • 基于CNN卷积神经网络的步态识别matlab仿真,数据库采用CASIA库
    1.算法运行效果图预览(完整程序运行后无水印) 1.训练过程   2.样本库     3.提取的步态能量图   4.步态识别结果和样本真实标签   2.算法运行软件版本MATLAB2022a 3.部分核心程序(完整版代码包含详细中文注释,训练CASIA库) digitD......
  • 高频仿真知识
    高频仿真的关键知识点包括:1.**微波网络分析**:在高频电路中,我们需要用到S参数、Y参数、Z参数等网络参数,它们描述了信号在电路中的传播和反射特性。2.**信号完整性**:由于高速信号,我们需要关注信号的上升时间、下降时间和时钟抖动,以及它们对电路性能的影响。3.**传输线理论*......
  • SimPy仿真:集装箱码头如何保证单个集装箱卸货和顺序运输?
    我正在对集装箱码头进行SimPy模拟,船舶到达、停泊并使用起重机卸载集装箱,然后使用卡车进行运输。问题陈述_pt1、问题陈述_pt2我需要确保:每台起重机一次仅卸载一个集装箱。在有空卡车可用之前,起重机应继续其卸载过程,无论之前的卡车是否已完成......
  • 1328、基于51单片机光照人体检测手自动语音控制蓝牙远程控制智能台灯(程序+原理图+PCB
    毕设帮助、开题指导、技术解答(有偿)见文未  目录方案选择单片机的选择一、设计功能二、实物图单片机模块设计三、原理图四、程序源码五、PCB图六、proteus仿真资料包括:需要完整的资料可以点击下面的名片加下我,找我要资源压缩包的百度网盘下载地址及提取码。方......
  • 基于AT89C51单片机的简易计算器(含仿真、源码、论文适用于小白学习、课程设计等)
    本篇文章论述的是基于AT89C51单片机的简易计算器设计的详情介绍,如果对您有帮助的话,还请关注一下哦,如果有资源方面的需要可以联系我。含有仿真、源码的下载链接(如果打开不显示就是资源在审核中,如果着急需要的话可以私信我获取)基于AT89C51单片机的简易计算器资源-CSDN文库......
  • 基于STC89C51单片机的智能热水器控制系统设计(含文档、源码与proteus仿真,以及系统详细
    本篇文章论述的是基于STC89C51单片机的智能热水器控制系统设计的详情介绍,如果对您有帮助的话,还请关注一下哦,如果有资源方面的需要可以联系我。目录摘 要原理图仿真图代码系统论文资源下载摘 要现在社会发展迅速,人们的生活水平都有所提高,各种热水器的使用早已屡......
  • JESD204B学习与仿真
    平台:vivado2018.3芯片:xcku115-flva1517-2-i场景:在高速ADC和DAC芯片中,有使用源同步的时钟和数据同步传输的方式,但是需要在逻辑内部对其进行校准。如果使用jesd204b接口传输数据,设计人员不需要了解复杂的校准流程,只需要向该接口写入数据,或者从该接口读出数据。IP手册下载地址:......
  • 基于CNN卷积神经网络的MQAM调制识别matlab仿真
    1.算法运行效果图预览(完整程序运行后无水印)  2.算法运行软件版本matlab2022a 3.部分核心程序(完整版代码包含中文注释,训练库) digitDatasetPath=['Image_train\'];imds=imageDatastore(digitDatasetPath,'IncludeSubfolders',true,'LabelSource','fold......