首页 > 其他分享 >16.分频器设计—奇分频

16.分频器设计—奇分频

时间:2024-07-03 11:33:44浏览次数:18  
标签:reset 分频 分频器 set clk 16 get property out

(1)Visio视图:

(2)Verilog代码:

module divider_five(clk,reset_n,clk_out);

    input clk;
    input reset_n;
    
    output clk_out;
    
    reg [2:0]cnt;
    reg clk_1;
    reg clk_2;
 
//计数器模块设计
    always@(posedge clk or negedge reset_n)
        if(!reset_n)
            cnt <= 3'd0;
        else if(cnt == 3'd4)
            cnt <= 3'd0;
        else 
            cnt <= cnt + 3'd1;
            
//clk_1信号设计
    always@(posedge clk or negedge reset_n)
        if(!reset_n)
            clk_1 <= 1'd0;
        else if(cnt == 3'd2)
            clk_1 <= 1'd1;
        else if(cnt == 3'd4)
            clk_1 <= 1'd0;
        else 
            clk_1 <= clk_1;

//clk_2信号设计
    always@(negedge clk or negedge reset_n)
        if(!reset_n)
            clk_2 <= 1'd0;
        else if(cnt == 3'd2)
            clk_2 <= 1'd1;
        else if(cnt == 3'd4)
            clk_2 <= 1'd0;
        else 
            clk_2 <= clk_2;

    assign clk_out = (clk_1 | clk_2);

endmodule

(3)RTL视图:

(4)仿真文件代码:

`timescale 1ns / 1ps

module divider_five_tb;

    reg clk;
    reg reset_n;
    
    wire clk_out;

    divider_five divider_five_inst(
        .clk(clk),
        .reset_n(reset_n),
        .clk_out(clk_out)
    );
    
    initial clk = 1'd1;
    always #10 clk = ~clk;
    
    initial begin
        reset_n = 1'd0;
        #20;
        reset_n = 1'd1;
        #2000
        $stop;
    end

endmodule

(5)仿真波形:

(6)引脚绑定:

set_property IOSTANDARD LVCMOS33 [get_ports clk_out]
set_property IOSTANDARD LVCMOS33 [get_ports reset_n]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN M13 [get_ports clk_out]
set_property PACKAGE_PIN N15 [get_ports reset_n]
set_property PACKAGE_PIN W19 [get_ports clk]

(7)实验现象:

标签:reset,分频,分频器,set,clk,16,get,property,out
From: https://blog.csdn.net/2301_80417284/article/details/140143827

相关文章

  • 15.分频器设计--偶分频
    设计一个六分频时钟信号(1)visio视图:(2)Verilog代码:moduledivider_six(clk,reset_n,clk_out);inputclk;inputreset_n;outputregclk_out;reg[1:0]cnt;//计数器模块设计always@(posedgeclkornegedgereset_n)if(!re......
  • P3350 [ZJOI2016] 旅行者
    咕了2天才写的题解还是比较经典的题目,分治处理网格图最短路离线下来,利用分治的思想,用一条线把网格图平均劈成两半,每次只考虑询问在两块的一对点,所有的线必须经过直线上的一个点,于是我把线上所有点都在规定范围内跑一次dijkstra,最后直接算答案,显然我想让最短路跑的次数最小,每次选......
  • [email protected](45)路由v5.x(10)源码(2)- history
    目录1,作用1.1,createBrowserHistory1.2,createHashHistory1.3,createMemoryHistory2,history对象的属性2.1,action2.2,push/replace/go/goBack/goForward2.3,location2.4,listen2.5,block/getUserConfirmation2.5,createHref1,作用react-router在控制和监听地址变......
  • 集中式DTU与4、6、8、12、16回路DTU主控单元
    一、集中式DTU集中式DTU适用范围:集中式DTUAPT-6600站所终端适用于配电系统中变电站、户外开闭所、箱变、开关站、环网柜、配电室等场合中的检测和控制需求。集中式DTU主要功能:采集配电网实时运行数据进行处理和分析,通过通信通道(如光纤、载波、无线等),上传至配网主站,并......
  • [集训队互测2016] Unknown
    经典题,国赛前才做怎么回事。一句话题意:末尾加删,区间询问凸包信息。一个做法是建出操作树,发现本题相当于路径查询凸包信息。于是可以树剖/点分治。点分治的话可以转化成只有前缀询问的情况用平衡树维护图报加入一个点和回退。但是这样太难写了!观察到询问只有直上直下的链(当然如果......
  • (nice!!!)LeetCode 3164. 优质数对的总数 II(数组、哈希表)
    3164.优质数对的总数II思路:先找出可以被k整除的nums[i].方法一:统计因子。1、找出数组nums1每个元素的因子,用哈希表来记录每个因子出现的次数。然后再遍历数组nums2进行累加即可。classSolution{public:constintN=1e6+10;longlongnumberOfPairs(vec......
  • 16-视口的理解
    01布局视口和视觉视口02理想视口<metaname="viewport"content="width=device-width,initial-scale=1.0">通过width=device-width即布局视口的宽度等于设备的宽度,以此来达到效果视口中的其它值......
  • 16_简单计算器实现
    02_简单计算器实现publicclassDemo{publicstaticvoidmain(String[]args){intflag=0;while(flag!=5){System.out.println("选择加法请按1,2,3,4"+"\t"+"退出请按5");Scannerscanne......
  • 【提交ACM出版 | EI&Scopus检索稳定 | 高录用】第五届大数据与社会科学国际学术会议(IC
    第五届大数据与社会科学国际学术会议(ICBDSS2024)将于2024年08月16-18日在中国-上海隆重举行。ICBDSS会议在各专家教授的支持下,去年已成功举办了四届会议。为了让更多的学者有机会参与会议分享交流经验。本次会议主要围绕“大数据”、“社会科学”等研究领域展开讨论。目......
  • Windows Server 2016 搭建VPN服务
    ......