首页 > 其他分享 >基于STM32的同步整流Buck-Boost数字电源 开源

基于STM32的同步整流Buck-Boost数字电源 开源

时间:2024-06-06 22:00:14浏览次数:19  
标签:Buck 电压 输出 芯片 电源 STM32 电路 https Boost

一款基于STM32G474的四开关Buck-Boost数字电源,支持TypeC接口PD诱骗输入和DC5.5接口输入,输入/输出最高48V10A,这是我的毕业设计,现在开源出来,含原理图、PCB、程序源码、外壳3D模型等资料。

做得一般,勿喷,欢迎友好交流。

作品演示视频:https://www.bilibili.com/video/BV1Ui421y7ip/

基于CH32V307的智能电子负载开源,嵌入式大赛作品开源:https://blog.zeruns.tech/archives/785.html

本项目的立创开源平台开源链接:https://url.zeruns.tech/noGf0

电子/单片机技术交流QQ群:820537762

资料下载地址在文章末尾。

硬件电路使用的嘉立创EDA软件来设计,这款软件真的很好用。

简介

​ 本文设计基于STM32的同步整流Buck-Boost数字电源,该电源电路由MOSFET驱动电路、4开关Buck-Boost电路、信号调理电路、PD快充协议电路、辅助电源电路、单片机控制电路等部分组成。

​ 该电源可通过DC接口或Type-C接口供电,而且Type-C接口支持与充电器通过PD快充协议通讯,自动请求并获取最高20V的工作电压。该电源使用STM32G474单片机对输入输出的电压和电流实时监测,并通过PID控制算法来调整输出的PWM占空比,以及实现过压过流保护,还可采样主板温度来实现过温保护。此外可通过OLED屏实时查看电源的参数,并通过旋转编码器和按钮来设置输出电压和电流,还可以通过另一个Type-C接口与上位机通信,通过上位机软件可实时查看电源的各项参数和波形。

设计性能参数

​ 电源设计性能参数如下表:

项目参数指标
输入电压范围12Vdc~48Vdc
输入电流范围0~10A
输出最大功率450W
输出电压范围0.5Vdc~48Vdc
输出电流范围0~10A
输出电压纹波峰峰值≤200mV
开关频率181.333kHz

实物图

使用VOFA+作为上位机软件,可实时查看电源各项参数(输入电压和电流、输出电压和电流、主板温度、MCU温度、电源转换效率等)和波形变化。如下图所示。

系统框架图

硬件电路设计

器件选型计算

电感计算

​ 在同步BUCK-BOOST电源中,电感的计算需要考虑BUCK模式和BOOST模式下的工作情况,以确保在两种模式下都能满足电路的工作要求。通常,电感的选择会基于两种模式中对电感要求更高的那个模式来进行。

​ 当电源工作于BUCK降压模式时,假设输入电压最大为48V,输出电压最小为5V,计算最小的PWM占空比:

D m i n = V o u t _ m i n V i n _ m a x = 5 V 48 V = 10.417 % D_{min} = \frac{V_{out\_min}}{V_{in\_max}} = \frac{5V}{48V} = 10.417\% Dmin​=Vin_max​Vout_min​​=48V5V​=10.417%

​ 定义电感电流波动量(25%的最大额定电流脉动,设最大额定电流为10A):

Δ I L = 25 % ⋅ I o u t _ n o m = 25 % × 10 A = 2.5 A \Delta I_L = 25\% \cdot I_{out\_nom} = 25\% \times 10A = 2.5A ΔIL​=25%⋅Iout_nom​=25%×10A=2.5A

​ 计算最小需要的BUCK电感:

L m i n B u c k = V o u t _ m i n Δ I L ⋅ f s w i t c h = 5 V 2.5 A × 181333 H z × ( 1 − 10.417 % ) ≈ 9.88 μ H L_{minBuck} = \frac{V_{out\_min}}{\Delta I_L \cdot f_{switch}} = \frac{5V}{2.5A \times 181333Hz} \times (1 - 10.417\%) \approx 9.88\mu H LminBuck​=ΔIL​⋅fswitch​Vout_min​​=2.5A×181333Hz5V​×(1−10.417%)≈9.88μH

​ 当电源工作于BOOST升压模式时,以输入额定电压24V为计算点,计算PWM占空比:

D B o = 1 − V i n _ n o m V o u t _ m a x = 1 − 24 V 48 V = 50 % D_{Bo} = 1 - \frac{V_{in\_nom}}{V_{out\_max}} = 1 - \frac{24V}{48V} = 50\% DBo​=1−Vout_max​Vin_nom​​=1−48V24V​=50%

​ Boost 模式下,取1A( I m i n b I_{minb} Iminb​)时Boost进入连续模式(CCM),计算电感:

L m i n B o o s t = V o u t _ m a x ⋅ D B o ( 1 − D B o ) 2 2 ⋅ I m i n b ⋅ f s w i t c h = 48 V × 50 % × ( 1 − 50 % ) 2 2 × 1 A × 181333 H z = 16.544 μ H L_{minBoost} = \frac{V_{out\_max} \cdot D_{Bo}(1-D_{Bo})^2}{2 \cdot I_{minb} \cdot f_{switch}} = \frac{48V \times 50\% \times (1 - 50\%)^2}{2 \times 1A \times 181333Hz} = 16.544\mu H LminBoost​=2⋅Iminb​⋅fswitch​Vout_max​⋅DBo​(1−DBo​)2​=2×1A×181333Hz48V×50%×(1−50%)2​=16.544μH

​ 基于公式得出的计算结果,确定了为满足预定纹波电流要求所需的最小电感值。为了确保满足这一条件,应选用一个电感值略高于计算值的电感元件。同时,必须确保所选电感的饱和电流能够承载电路中的最高电流峰值。由于在计算过程中未将效率因素纳入考虑,实际的占空比和峰值电流可能会低于理论计算值。因此,在进行选择时,应该考虑到一定的安全余量,以适应实际工作条件下可能出现的各种情况。

​ 综合考虑以上因素,本设计选用1770贴片封装的饱和电流10A以上的22μH的电感作为BUCK-BOOST电路的电感元件。

电容计算

​ 为了获得较好的输出电压纹波,取设计的电压纹波为50mV。

Δ V o u t = 0.05 V \Delta V_{out} = 0.05V ΔVout​=0.05V

​ 上面选用的电感为 22μH 的,所以这里计算也用这个电感值:

L B B = 22 μ H L_{BB}=22\mu H LBB​=22μH

​ 分别计算工作于BUCK降压模式下和BOOST升压模式下所需要的最小电容量 C m i n B u c k C_{minBuck} CminBuck​ 和 C m i n B o o s t C_{minBoost} CminBoost​ :

C m i n B u c k = V o u t _ m i n ⋅ ( 1 − V o u t _ m i n V i n _ m a x ) 8 ⋅ L B B ⋅ Δ V o u t ⋅ f s w i t c h 2 = 15.48 μ F C_{minBuck}=\frac{V_{out\_min}\cdot(1-\frac{V_{out\_min}}{V_{in\_max}})}{8\cdot L_{BB}\cdot \Delta V_{out}\cdot {f_{switch}}^2}=15.48\mu F CminBuck​=8⋅LBB​⋅ΔVout​⋅fswitch​2Vout_min​⋅(1−Vin_max​Vout_min​​)​=15.48μF

C m i n B o o s t = I o u t _ n o m ⋅ ( 1 − V i n _ m i n V o u t _ m a x ) Δ V o u t ⋅ f s w i t c h = 413.6 μ F C_{minBoost}=\frac{I_{out\_nom}\cdot(1-\frac{Vin\_min}{Vout\_max})}{\Delta Vout\cdot fswitch}=413.6\mu F CminBoost​=ΔVout⋅fswitchIout_nom​⋅(1−Vout_maxVin_min​)​=413.6μF

​ 设计需要留有一定余量,以及为更低的输出纹波,所以选用一颗低ESR的220μF的固态电容加一颗470μF的普通电解电容,共690μF。

MOS选型计算

​ 在额定输入输出电压范围内,计算输入MOS电流的有效值为:

i m o s _ r m s = 7.098 A i_{mos\_rms}=7.098A imos_rms​=7.098A

​ MOSFET选型中MOS管的额定电流值 I D I_D ID​需按流过MOS管最大电流的2倍以上(以防止故障或短路状态下电流过大造成冲击损坏)。

2 × i m o s _ r m s = 14.196 A 2×i_{mos\_rms}=14.196A 2×imos_rms​=14.196A

​ MOSFET选型的额定耐压值 V D S V_{DS} VDS​需大于最大输入电压的1.5倍(以防止尖峰击穿)

1.5 × V i n _ m a x = 72 V 1.5×Vin\_max=72V 1.5×Vin_max=72V

​ 在参考了之前的计算之后,对于常规的应用场景,可以选择额定电流超过15安培(A)且耐压等级为100伏特(V)的MOSFET,下管MOSFET选型和上管一样,考虑到发热的情况,为了最大限度地减少导通和开关过程中的能量损耗,应优先选用具有低导通电阻( R D S ( o n ) R_{DS(on)} RDS(on)​)和低输出电容(Coss)的MOSFET。

​ 本设计选用的MOSFET型号为CJAC80SN10,该器件由江苏长晶科技股份有限公司生产,是一款国产化的MOSFET。它具备100V的漏源耐压( V D S V_{DS} VDS​)和最大80A的漏源电流( I D I_D ID​)。其导通电阻( R D S ( o n ) R_{DS(on)} RDS(on)​)仅为6.2mΩ,这一低阻特性有利于减少器件在导通状态下的功率损耗。同时,该器件的输出电容Coss具有420pF的典型值,这一较低的电容量有利于降低开关转换过程中的动态损耗。因此,CJAC80SN10在满足项目所需的电气特性的同时,还能有效减少能量损耗,提高系统的整体效率。

电源板电路设计

主功率电路

​ 下图为同步BUCK-BOOST电源主功率电路图,左侧为输入,同步 BUCK降压电路由MOS管Q2和Q4与电感L1组成,同步BOOST升压电路由MOS管Q3和Q5与电感L1组成,每颗MOS管的栅极和源极间均并有10kΩ的电阻,以确保MOS的栅极不悬空,防止误动作导通。

​ 主功率电路整体上左右对称结构,输入输出端都设有1个470μF/63V的铝电解电容器和1个220μF/63V的固态铝质电解电容,此外,还有2个小的贴片MLCC(多层陶瓷电容器),参数为10μF/50V,用以过滤端口处的高频噪声干扰。R9和R10作为输入输出端口的假负载,可以在电源断开时能够迅速耗散电路内残留的能量。R13与R14是高精度的5mΩ电阻,用于电流采样,后级接差分放大电路来放大输入输出的电流信号。CNT1和CNT2为输出端子。L1和L2分别是贴片1770封装和直插磁环电感封装,选其中一个焊接即可,两个都画是为了方便测试不同电感的效果和性能。

电源输入和快充协议电路

​ 下图为电源的输入接口和PD快充协议通信的电路图。

​ 电源输入采用了两种接口,分别是DC5.5*2.5mm的母口和TypeC母口,其中TypeC接口支持BC1.2,PD3.0/2.0等多种快充协议,使用的快充协议芯片型号是CH224K,可以与快充充电器通信使充电器输出最高20V的电压,最高支持100W功率。

​ D1、D3、D4二极管作用是防止DC接口电压倒灌TypeC接口,设计上是不允许两个接口同时接入的。D5是用于反接保护的二极管。FH1为电源输入保险座,插12A的保险丝。

驱动电路

​ 在同步BUCK-BOOST电源的设计中,BUCK电路和BOOST电路均包含高侧N-MOSFET。传统上,对于这些高侧N-MOSFET的驱动通常采用变压器隔离驱动的方式,但这种方法会增加电路的复杂性,并且扩大了电路板的尺寸。

​ 本设计选用两颗自带自举电路功能的MOS管驱动芯片EG3112用以驱动BUCK电路和BOOST电路的MOS管。EG3112是一款非隔离型的互补双通道驱动芯片;其2A的输出驱动电流能力确保了MOSFET能够迅速导通;芯片还内置了死区时间控制功能,以防止输出驱动信号发生直通,从而提高了系统的稳定性。具体电路如下图所示。

​ 以BOOST升压电路的MOS管驱动为例,PWM2L与PWM2H是来自STM32G474微控制器输出的PWM信号,送入EG3112驱动芯片的LIN和HIN引脚,LO为下管驱动信号输出,驱动电阻阻值为10Ω,HO为上管驱动信号输出,驱动电阻阻值为 10Ω,D7为上管驱动电路自举二极管,D9和D11二极管用于快速释放栅极电荷,加快MOS管的关断速度,C14为自举电容。

​ 驱动电阻作用是在MOSFET开关过程中,驱动信号可能会在PCB走线、分布电容、电感等元件上产生反射和振荡,通过串联电阻可以减少这种振荡,提高系统的稳定性和可靠性。

辅助电源

​ 下图是电源板辅助电源供电电路原理图。

​ 第一级12V输出的辅助电源电路选用了集成高侧MOSFET的BUCK型电源芯片TPS54360B来设计。按照芯片手册,RT引脚接一个下拉电阻可以设置开关频率,这里选取110kΩ的电阻,对应开关频率是876.5kHz,较高的开关频率可以选择小一点的电感节省空间,根据这个频率计算电感取值应大于9.75μH,这里选取10μH的电感,选取SS310为续流二极管。C26与C27是输入滤波电容;反馈分压电阻R19和R25分压出0.8V的基准电压给芯片FB引脚后保证输出电压在12V时。C18和C19为第一级12V输出辅助电源的滤波电容。第一级12V输出主要供第二级6V降压电路的输入,以及MOSFET驱动电路和散热风扇使用。

​ 第二级6V输出的辅助电源电路选用了集成MOSFET的同步整流BUCK型电源芯片SY8205来设计。按照芯片手册说明,芯片开关频率固定为500kHz,选取辅助电源BUCK电路的电感为10μH。C21与C22为输入滤波电容;反馈分压电阻R23和R26分压出0.6V的基准电压给芯片FB引脚后保证输出电压在6V时。C24和C25为第二级6V输出辅助电源的滤波电容。由于直接使用线性稳压器从12V降压到5V会造成比较大的损耗,所以采用先用开关电源降压至接近5V的电压再用线性稳压器降压至目标电压,这样可以保证较高的效率和较低的输出纹波。

​ 第二级输出的6V电压经线性稳压芯片AMS1117-5降压成5V作为第三级辅助电源,电压基准芯片REF3033,供信号调理,OLED显示,USB通信等功能电路使用。

5V直流电经线性稳压芯片AMS1117-3.3降压成3.3V作为第四级辅助电源,供MCU,蜂鸣器,Flash芯片等电路使用。

​ 电压基准芯片REF3033输出3.3V的基准电压供MCU的VREF端口使用,作为MCU内置ADC的参考电压,提高ADC的采样准确性。

信号调理电路

​ 如上图所示,本设计的输入和输出电压的采样和信号调理电路采用了差分放大技术。差分放大拓扑可以有效地降低开关电源中高频噪声对信号调理电路的干扰,提高了信号稳定和可靠性。在此电路中,选用了低零偏运算放大器GS8558-SR,以提升转换的精度。GS8558-SR运放具有优异的直流精度和低偏置电流特性,这对于提高整个信号调理电路的性能至关重要。

​ 差分放大电路的反馈电阻:

R 38 = 4.7 k Ω R_{38}=4.7kΩ R38​=4.7kΩ

R 36 = 75 k Ω R_{36}=75kΩ R36​=75kΩ

​ 计算差分放大倍数:

K V = R 38 R 36 = 0.062667 K_V=\frac{R_{38}}{R_{36}}=0.062667 KV​=R36​R38​​=0.062667

​ MCU的ADC最大采样电压为电压基准芯片输出的3.3V,则可以计算出能采样到的输入和输出电压最大值为:

V m a x = 3.3 V K V = 52.66 V V_{max}=\frac{3.3V}{K_V}=52.66V Vmax​=KV​3.3V​=52.66V

​ 为了更好抑制开关电源中的高频噪声对差分放大电路的干扰,在运放的供电管脚添加去耦电容C40和C41用以滤波,电容选用MLCC(多层陶瓷电容器),MLCC由于具有较低的ESR和ESL,在高频噪声的滤波中具有更好的效果,同时输出端添加R35电阻和C39电容构成RC低通滤波器电路,用以滤除高频噪声。

​ 如上图所示,输入和输出电流调理电路采用差分放大的方式,输出电流采样电阻为:

R 14 = 5 m Ω R_{14}=5mΩ R14​=5mΩ

​ 差分放大电路的反馈电阻:

R 48 = 6.2 k Ω R_{48}=6.2kΩ R48​=6.2kΩ

R 46 = 100 Ω R_{46}=100Ω R46​=100Ω

​ 计算差分放大倍数:

K I = R 48 R 46 = 62 K_I=\frac{R_{48}}{R_{46}}=62 KI​=R46​R48​​=62

​ 也就是每1A电流输出310mV的电压。

​ MCU的ADC最大采样电压为电压基准芯片输出的3.3V,则可以计算出能采样到的输入和输出电流最大值为:

I m a x = 3.3 V K I × R 14 = 10.65 A I_{max}=\frac{3.3V}{K_I \times R_{14}}=10.65A Imax​=KI​×R14​3.3V​=10.65A

MCU控制电路

​ 本项数字电源设计选用了STM32G474RET6芯片作为控制器,该控制器具有外围电路简洁、控制方式多样、扩展能力优越等显著特点。为了实现精确的时钟信号,控制器采用了外部晶振X1,即一个频率为25兆赫兹(MHz)的石英晶体振荡器。此外,电路中还包含了多个滤波电容器,包括C45、C51、C56、C46和C52,它们分别用于微控制器(MCU)的不同数字电源引脚,以确保电源的稳定性和减少噪声干扰。R49电阻和C49电容构成上电复位电路,SW1为MCU复位按钮。U11是USB转串口的芯片,型号为CH340C,与第二个TypeC接口连接,串口与MCU的USART1接口连接。U10是Flash存储芯片,型号为W25Q64,用来存储参数设置等信息,与MCU的SPI3接口连接。Q6为蜂鸣器的驱动MOS管,用于控制蜂鸣器,MOS管栅极与MCU的PB5端口连接。H1接线端是SWD烧录口。H2和H4是PH2.0接线座,用于与控制面板连接,接线座预留有USART2接口,可方便将控制面板方案更换为串口屏,还可外加ESP32以增加联网和无线控制等功能。D13为5V反接保护二极管。

散热风扇驱动和主板温度采样电路

​ 主板温度采样电路和散热风扇驱动电路原理图如下图所示。电源主板温度采样的原理是使用NTC热敏电阻R2与下拉电阻R4串联分压输出到MCU的ADC端口进行采样,使用的NTC热敏电阻阻值为10kΩ的,B值为3950K。

​ 散热风扇是使用一个N-MOS管来驱动,型号为AO3400,散热风扇接口上反向并联一个二极管D2用于防止由于电机产生的反电动势(back EMF)造成的损害。当电机断电时,由于旋转惯量,电机的转子不会立即停止转动,而是会继续旋转并产生电动势。这个电动势可能会导致电路中的晶体管或集成电路损坏,特别是当电机与这些元件通过半导体开关(如MOSFET)连接时。

控制面板电路设计

​ 控制面板的电路原理图如下图所示。SW1是旋转编码器,用于设置参数等,SW2和SW3是按钮,SW2用于切换设置项,SW3用于控制电源输出的开启和关闭。LED1是系统运行状态指示灯,正常运行时以500mS的间隔闪烁,LED2是输出状态指示灯,输出开启时亮灯,输出关闭是灭灯。OLED1是OLED屏幕,用于显示电源参数和状态等信息。

PCB截图

电源板顶层

电源板GND层

电源板内层2

电源板底层

面板顶层

面板底层

使用说明

​ 通过按钮和旋转编码器可以设置输出的电压和电流值。如下图所示,反色显示的数值为当前要设置的位,通过旋转编码器可以增加或减少,按下编码器可以切换到下一位进行设置,通过SW2按键可以切换要设置的项目。设置的数据会自动保存到Flash存储芯片里,下次开机会从存储芯片里读出数据。

​ SW3按钮开启/关闭电源输出。

​ 可切换到数据显示页面查看电源当前的输入输出电压和电流,以及主板温度和MCU温度等信息,如下图所示。

​ 可切换到设置页面设置过温/过流/过压保护的阈值,如下图所示。设置的数据会自动保存到Flash存储芯片里,下次开机会从存储芯片里读出数据。

纹波测试

​ 使用电子负载和示波器测试电源输出性能和输出纹波,如下图所示。在36V输入,12V2A输出时纹波峰峰值测得42mV左右,如下图所示。

转换效率测试

​ 测试20V输入,12V10A输出时的电源转换效率为92%,如下图所示。

​ 下表为各个不同的输入和输出电压下的转换效率,最高效率为94.3%。

输入电压(V)输入电流(A)输入功率(W)输出电压(V)输出电流(A)输出功率(W)转换效率(%)
20.0034.03580.71215.0105.00075.05092.985
47.9995.335256.07524.0409.900237.99692.940
48.0007.875378.00036.0209.900356.59894.338
48.0009.860473.28045.0309.900445.79794.193
23.9988.835212.02248.0704.000192.28090.689
23.9989.830235.90035.9986.001216.02491.574
12.0999.166110.89924.0704.00096.28086.817
20.0082.64552.9214.9709.00044.73084.522
20.00810.550211.08424.0308.000192.24091.073
36.0006.418231.04824.0109.000216.09093.526
36.00010.540379.44035.9509.800352.31092.850

MOS管栅极波形

​ 测试20V输入,24V输出时的各个MOS栅极波形。

​ BUCK电路上下管对地电压波形图:

​ BOOST电路上下管对地电压波形图:

元器件购买地址

这个项目用到的大部分元件购买地址都在这里:

建议在立创商城里购买元器件:https://activity.szlcsc.com/invite/D03E5B9CEAAE70A4.html

在立创开源链接里的BOM表那点立即到立创商城下单可将用到的元器件一键导入到购物车。

资料下载地址

下面链接包含的资料有:立创EDA工程、原理图PDF文件、各个芯片的数据手册、源代码工程压缩包、一些参考的程序代码。

123云盘不限速下载地址:https://www.123pan.com/ps/2Y9Djv-8yevH.html

百度网盘下载地址:https://url.zeruns.tech/MW2d1

项目程序Gitee开源地址:https://gitee.com/zeruns/STM32-Buck-Boost

项目程序GitHub开源地址:https://github.com/zeruns/Synchronous-Rectification-Buck-Boost-Digital-Power-Supply-Based-on-STM32

求点个Star和点个赞。

已知存在的问题

  1. 辅助电源中的SY8205芯片在低负载时会进入PFM模式,频率较低,从而产生了轻微的噪音。
  2. 恒流模式的PID控制程序写的不行,只有在纯电阻负载下恒流才稳定。(恒压模式没有问题)

其他开源项目推荐

推荐阅读

标签:Buck,电压,输出,芯片,电源,STM32,电路,https,Boost
From: https://blog.csdn.net/zeruns/article/details/139510875

相关文章

  • stm32系列--DAC的应用
     #include"bsp_dac.h"#include"math.h"//#include"stm32f10x_tim.h"//#include"stm32f10x_dma.h"//#include"stm32f10x_dac.h"//正弦波单个周期的点数#definePOINT_NUM32#defineangle3.1415/64/*初始波形数据-----......
  • stm32系列--ADC使用
      #include"adc.h"#include"delay.h"////////////////////////////////////////////////////////////////////////////////////本程序只供学习使用,未经作者许可,不得用于其它任何用途//ALIENTEK战舰STM32开发板//ADC代码//正点原子@ALIENTEK//技术论......
  • STM32F767+LWIP+CubeMX配置
    一、环境准备电脑系统:Windows10专业版 20H2IDE:Keilv5.35、STM32CubeMXv6.5.0测试硬件:STM32F767二、测试步骤1、测试目的通过CubeMX配置lwip,ping通STM32F7672、新建工程3、配置sys不使用操作系统,那么时基源直接使用Systick,SWD调试。4、配置RCC高速时钟为电路......
  • STM32: DMA数据传输学习
    一.DMA简介DMA(DirectMemoryAccess)直接存储器存取用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预,数据可以通过DMA快速地移动,这就节省了CPU的资源来做其他操作。相当于CPU的数据传输小助手。二.DMA功能特性如图,有三个DMA通道,分别是......
  • STM32 HAL库开发——入门篇(3):OLED、LCD
    源自正点原子视频教程:【正点原子】手把手教你学STM32HAL库开发全集【真人出镜】STM32入门教学视频教程单片机嵌入式_哔哩哔哩_bilibili一、OLED二、内存保护(MPU)实验2.1内存保护单元 三、LCD3.1显示屏分类3.2LCD简介 3.3LCD驱动原理  ......
  • STM32解决方案2:STM32程序中移植入其他模块注意事项
    Question在一个stm32大的开发程序中,如果要编写完之后移植入一个模块,如果要重新再编写整个程序显然很麻烦。为此,我们以stm32F103的一个程序为例,移植入LCD显示屏模块。(1)在对应的文件夹下导入LCD的文件。(2)在对应文件中添加该头文件(3)在此处加入LCD所在的目录(4)一定要记......
  • STM32学习(USART通信协议)
            本文章时本人根据江科大视频所记录的笔记,希望对大家的学习能提供帮助。1.通信接口通信的目的:将一个设备的数据传送到另一个设备,扩展硬件系统(stm32内部芯片无法得到的数据,需要连接外部芯片获取)通信协议:制定通信的规则,通信双方按照协议规则进行数据收发USAR......
  • stm32系列--同一定时器双通道捕获
     voidTIM3_Cap_Init(u16arr,u16psc)//定时器3通道2输入捕获配置{TIM_ICInitTypeDefTIM_ICInitStructure;TIM_TimeBaseInitTypeDefTIM_TimeBaseStructure;NVIC_InitTypeDefNVIC_InitStructure;GPIO_InitTypeDefGPIO_InitStructure;R......
  • stm32系列--低电平时间的测量
     TIM_ICInitTypeDefTIM2_ICInitStructure;voidTIM2_CH1_Cap_Init(u16arr,u16psc){GPIO_InitTypeDefGPIO_InitStructure;TIM_TimeBaseInitTypeDefTIM_TimeBaseStructure;NVIC_InitTypeDefNVIC_InitStructure;RCC_APB1Peri......
  • STM32H743 ADC+DMA
    1.**STM32CubeMX配置如下:**ADC:配置通道配置ADC的工作模式,这里用到了DMA使用ADC中断DMA2的通道4对应ADC2GPIO的配置,没有配置上下拉DMA配置:用STM32H743用DMA传输ADC的数据会有一个需要注意的点,需要将传输数据的buf配置在固定的某一段RAM中。#defineADC_CONVE......