首页 > 其他分享 >Vscode-Verilog开发工具

Vscode-Verilog开发工具

时间:2024-03-12 23:55:05浏览次数:24  
标签:插件 Vscode 代码 开发工具 vscode Verilog SystemVerilog

IC design时,有的公司是在linux环境下进行,虽然很多推荐用vim/gvim进行coding,但是在linux vscode下coding也很多,因为vscode插件很多,看个人习惯吧,我喜欢在vscode下Coding。另外FPGA开发一般也就在windows环境下进行,所以也可以用Vscode进行Coding。个人使用的插件如下:

1.代码补全,代码片段,语法高亮,语法检查,代码跳转:Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code(需要安装ctags)
2.Verilog_testbench, cmd生成tb, copyboard 复制到tb文件
3.Verilog hdl(可以run仿真,搭配wavetrace可以vscode里看仿真波形)
4.verilog-simplealign,代码对齐,端口,逗号,信号对齐
5.koroFileHeader,自动生成文件头部注释,也可以函数注释以及末尾注释
6.SystemVerilog and Verilog Formatter for VSCode,代码格式化插件,基于Google Verible,要自己看文档写 cmd,难度较大,会用的话能把代码格式化的很漂亮。
7.Digital-ide,轻量级FPGA开发套件平台,功能还不错,但是要折腾,而且功能不完善,观望,等开发成熟再使用
8.TerosHdl,功能好像很强大,但是要配置下各种依赖,有个地方没有弄通,看不了电路图,所有我就暂时没用,给开发者在github上提了求助,等待回复,同样先观望。

 

标签:插件,Vscode,代码,开发工具,vscode,Verilog,SystemVerilog
From: https://www.cnblogs.com/millionyh/p/18069670

相关文章

  • 【vscode】vscode配置Java
    【vscode】vscode配置Java前言‍配环境,需要记录,避免反复踩坑。‍步骤‍step1:官网走‍配环境为什么不直接上官网教程,VisualStudioCode-CodeEditing.Redefined‍​​‍点击Java‍​​‍step2:配置必需的环境‍CodingPackforJavaTohelpyousetupqui......
  • VScode调用MSVC编译C++文件
    批处理.bat@echooffchcp65001ifnot"%~1"==""(setpos="%~1"&gotorun)set/ppos=工程路径Workspacepath::runcall"E:\ProgramFiles\MicrosoftVisualStudio\2022\Community\Common7\Tools\VsDevCmd.bat"code......
  • 程序员必备开发工具(IDE)推荐
    前言作为IT工作者,我们离不开写代码,但是工欲善其事必先利其器,在写代码的时候,我们要选择合适的IDE,提升我们的代码编写和调试效率。本文就来给大家安利几款必备的IDE。JetBrainsPyCharmJetBrainsPyCharm是专为Python开发而设计的集成开发环境,提供了强大的功能和工具,如代码分析......
  • 使用VSCode撰写和发布博客园文章
    1、在VSCode中,安装扩展“博客园cnblogs客户端”,用来管理博客园。从VSCode打开博客园2、安装“OfficeViewer”扩展,用来书写MarkDown,所见即所得。3、在桌面上新建一个快捷方式,默认使用VSCODE打开VSCode中的博客园工作空间。......
  • (C++)树状数组和线段树的VSCode Snippet
    学都学了,肯定要往snippet里塞好东西嘛{ //Placeyoursnippetsforcpphere.Eachsnippetisdefinedunderasnippetnameandhasaprefix,bodyand //description.Theprefixiswhatisusedtotriggerthesnippetandthebodywillbeexpandedandinserted.......
  • vscode-verilble
    参数名称说明默认值--column_limit目标行长度限制,用于指定格式化后的代码每行的最大字符数100--indentation_spaces每个缩进级别增加的空格数2--line_break_penalty每引入一行换行符的惩罚值2--over_column_limit_penalty超出列限制的基线惩罚值,超出此......
  • vscode搭建Renesas开发环境,编译并下载调试
    0windows下安装环境安装pyocd,libusbpipinstallpyocdpipinstalllibusb1使用RASC创建工程(1)创建工程(2)选择CMake(3)创建demo例程,可以先选择NoRTOS,最后点击Finish,创建完成(4)适用于vscode的例程已经创建完成,可以在vscode中打开,进行代码编写2VSCode配置(1)编辑Config......
  • 运行golang测试无法读取环境变量[vscode]
    使用vscode运行golang测试,通常我们会发现无法读取到设置在系统的环境变量,其本质原因是使用vscode启动testing并不是常规的subshell,无法正常读取到系统的环境变量;解决方案:方案1:将环境变量配置在setting.json(适用于变量较少情况)"go.testEnvVars":{"NAME":"zimskyzeng",},......
  • vscode+cmake开发,头文件显示找不到(转载)
    原文地址:https://blog.csdn.net/lizy_fish/article/details/106376080 vsc在cmake开发当中会遇到,编辑器的插件判断头文件包含路径有问题。但是实际上通过cmake编译是正常的。特别是qt+vscode开发的时候,这个问题会导致编辑器的智能提示完全没有或者有误(比如包含这个文件的容......
  • 使用vscode插件生成小型c项目
    VScode生成c小型项目1.VScode安装C/C++projectcreator2.在磁盘任意位置创建一个文件夹名称(最好易懂)3.vscode顶部菜单栏点击文件或者快捷键f打开刚创建的文件夹​3.1在vscode界面下按ctrl+shift+p输入createC++Project​3.2生成的文件夹&文件有include------......