首页 > 其他分享 >时序约束2 常用指令

时序约束2 常用指令

时间:2024-01-11 16:56:38浏览次数:28  
标签:set name get clock 约束 property 指令 时序 port

  1. 外部时钟输入的约束如下:

create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)]

  1. 已建立的时钟改名
    create_generated_clock -name (clock name) [get_pins (path)]

3.input/output delay 设置
set_input_delay -clock [get_clocks (clock name)] (delay time ns) [all inputs]
set_output_delay -clock [get_clocks (clock name)] (delay time ns) [all outputs]

  1. 建立时钟组
    set_clock_groups -name (group name) -asynchronous -group {(clock name) (clock name) }
    set_clock_groups -name (group name) -asynchronous -group [get_clocks (clock name)]

  2. 管脚分配
    set_property PACKAGE_PIN (pin location) [get_ports (port name)]
    set_property IOSTANDARD (level:LVDS,LVCMOS18,LVCMOS33 etc.) [get_ports (port name)]

  3. 管脚作为时钟线
    set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets (port_name)]

  4. 管脚拉高
    set_property PULLUP true [get_ports (port name)]

  5. 当 vivado 报错说有某些管脚没有分配时,加下面两句
    set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
    set_property SEVERITY {Warning} [get_drc_checks UCIO-1]

原文链接:https://blog.csdn.net/Times_poem/article/details/80000873

标签:set,name,get,clock,约束,property,指令,时序,port
From: https://www.cnblogs.com/lzykkk/p/17958879

相关文章

  • vivado 时序约束1
    1.常见的xdc约束命令2.对异步时钟进行时序约束对异步时钟组和时钟域交汇进行约束在“ClockInteraction”(时钟交互)报告中可快速明确异步关系:无公用基准时钟的时钟对或者无公共周期(未扩展)的时钟对。即使时钟周期相同,从不同时钟源生成的时钟仍为异步关系。必须仔细审查异步“Clo......
  • 捕获事件的指令有哪些
    Laravel是一个流行的PHP框架,它具有出色的可测试性,可以帮助开发人员在更短的时间内编写可靠的代码。但是,即使使用了这个框架,也可能会出现测试覆盖率较低的情况。测试覆盖率是指代码中已由测试案例覆盖的部分比例。测试覆盖率越高,代码质量越高。在本文中,我们将分享几种技巧,帮助您提......
  • PA0:git 相关指令+编译
    gitcheckoutxxx 切换到xxx分支   -b BB  创建新BB分支在修改完文件后,gitadd指令将修改内容推送到待上传区,gitcommit将修改上传上去。gitlog 查看log记录gitdiff 对比当前修改过的所有记录--------------makemenuconfig注意是menu,不是nemu查看报错......
  • Spring中全局捕获数据库唯一约束等异常,返回友好提示给用户
    controller中有如下新增数据的代码@ApiOperation(value="add",notes="新增数据")@PostMapping("add")publicAjaxResultadd(@RequestBodyXxxx){returnAjaxResult.success(xxxService.save(x));}如果想实现数据唯一性检验,并提示用户有如下方法方法一:代码逻辑层面......
  • Vue3常用指令
    本小节中,我们将学习Vue3中的文本插值相关功能。文本插值语法文本插值语法如下,通常用双大括号来表示,当其绑定的变量发生变化时,插值的内容也会随之发生变化,也就是数据双向绑定功能:{{插值表达式}}示例:<scriptsetup>import{ref}from'vue';//响应式字符串变量con......
  • AI小蜜批量写作助手:多级指令,插件,GPTs满足不同写作需求
    为什么会开发这个脚本?爆文项目的核心是矩阵怼量具体怎么做这里介绍很清楚了:AI爆文撸流量主保姆级教程3.0+脚本写作教程(解放双手)我在刚做爆文项目时候,都是手动操作,复制指令,组合指令,粘贴,AI生成内容,然后发布。整个过程流程简单,全部重复劳动。但凡没点耐心,很容易就放弃了。重复......
  • NeurIPS'23 Paper Digest | PromptTPP: Prompt Pool 与时序点过程模型的持续学习
    为期一周的人工智能和机器学习领域顶级会议 NeurIPS 已于当地时间 12 月 16 日圆满结束。蚂蚁集团有 20 篇论文被本届会议收录,其中《Prompt-augmented Temporal Point Process for Streaming Event Sequence》由蚂蚁集团研究并撰写,作者包括薛思乔、王言、褚志轩、师......
  • NeurIPS'23 Paper Digest | PromptTPP: Prompt Pool 与时序点过程模型的持续学习
    为期一周的人工智能和机器学习领域顶级会议 NeurIPS 已于当地时间 12 月 16 日圆满结束。蚂蚁集团有 20 篇论文被本届会议收录,其中《Prompt-augmented Temporal Point Process for Streaming Event Sequence》由蚂蚁集团研究并撰写,作者包括薛思乔、王言、褚志轩、师......
  • 27.SQL 约束 - 默认值
    目录 默认值字段指定默认值默认值 默认值约束:用来指定某列的默认值语法:列名字段类型DEFAULT默认值字段指定默认值 --创建带有默认值的表CREATETABLEemp7(eidINTPRIMARYKEYAUTO_INCREMENT,enameVARCHAR(20),--为sex......
  • 26.SQL 约束 - 唯一约束
    目录 唯一约束添加唯一约束主键约束与唯一约束的区别唯一约束 唯一约束:表中的某一列的值不能重复对NULL不做唯一的判断语法:列名字段类型UNIQUE添加唯一约束 --创建带有唯一约束的表CREATETABLEemp6(eidINTPRIMARYKEYAUTO_IN......