首页 > 其他分享 >符号

符号

时间:2023-11-05 21:31:56浏览次数:22  
标签:10 符号 int 二进制 输出 printf sizeof

#include<stdio.h>

main()

{

{

int a=7%2;

printf("%d\n",a);

}

{

int b=1;

int c=b<<2;

printf("%d\n",c);

}

{

int d=4,e=5;

int f=d&e;

int g=d|e;

int h=d^e;

printf("%d\n",f);

printf("%d\n",g);

printf("%d\n",h);

}

{

int i=10;

 i+=10;  

printf("%d\n",i);

}

{

int a=10;

printf("%d\n",a);

 printf("%d\n",!a);

}

{

 

int b=1;

int a[10]={0};

int s=0;

s=sizeof(a)/sizeof(a[0]);

printf("%d\n",sizeof a);

printf("%d\n",sizeof b);

printf("%d\n",sizeof(int));

printf("s=%d\n",s);

}

}

//“/"号求出来的是商,“%”求出来的是余数;

//"<<"是左位移符号,">>"是右位移符号,移动后将二进制换算成10进制输出

//"&"是将十进制转换为二进制,将d,e的二进制数一一对应,如果两个对应的数都为1则输出1,如果有一个不为1则输出0,将输出的数字再转换为十进制

//"|"是将转换的二进制一一对应,如果两个对应的数字其中有一个为1则输出1

//"^"是将转换的二进制一一对应,如果两个对应的数字不相同则输出1,如果两个数字相同则输出为0

//i=i+20和i+=20相同,符号后加一个等号等于复合符号

//在c语言中,0是假,非0是真,”!“能将真变为假,假变为真,当假变为真时为1

//sizeof是计算字节的,后可以直接跟数组,不能直接跟int需要加括号,s是数组a的个数

//[]是下标引用操作符

//()是函数调用操作符

标签:10,符号,int,二进制,输出,printf,sizeof
From: https://blog.51cto.com/u_16330158/8195950

相关文章

  • Text家族又添新成员,TextBefore和​TextAfter提取指定符号前后内容!
    1职场实例小伙伴们大家好,今天我们来学习使用函数的方法提取指定符号前面或后面的内容。解决今天的这个问题,一般情况下我们可能会首先想到使用“分列”的方式提取,但是今天我们要求使用的是函数公式,所以不考虑这种束缚我们思维扩散的传统做法。要说运用公式,面对复杂的多函数嵌套,Excel......
  • vscode 怎么在 ctrl+p ( 转到文件 ) / ctrl+shift+o ( 转到编辑器中的符号 ) 时通过自
    解决方法:使用PowerToy( microsoft/PowerToys:Windowssystemutilitiestomaximizeproductivity(github.com) )来进行按键映射。    ......
  • Python字符串处理:截取、转义字符与格式化符号
    在Python中,字符串是一种基础且重要的数据类型。字符串可以表示文本数据,我们可以在Python中对字符串进行各种操作,如截取、插入、删除、替换等。此外,Python还支持转义字符和格式化符号,让我们能够以各种方式处理字符串。一、字符串截取Python中的字符串可以通过切片(slice)的方式进行......
  • 在JavaScript中移除字符串中的重音符号/变音符号
    内容来自DOChttps://q.houxu6.top/?s=在JavaScript中移除字符串中的重音符号/变音符号如何从字符串中移除重音符号?特别是在IE6中,我曾经使用过以下代码:accentsTidy=function(s){varr=s.toLowerCase();r=r.replace(newRegExp(/\s/g),"");r=r.replace(......
  • 前端package文件依赖版本号^符号
    在`package.json`文件中,版本号前面的`^`符号表示允许自动更新到当前主版本号下的次版本号。例如,如果当前主版本号是1,次版本号是0,那么`^1.0.0`将允许安装`1.x.x`范围内的任何版本,但不会安装2.x.x或更高版本的包。......
  • Xilinx VIvado学习-01 数值处理之除法(有符号)
    Verilog数值处理,在处理除法的时候,需要注意位宽。实例: quotient=a/b; reside=a%b; modulesi_div(inputsigned[9:0]a,inputsigned[7:0]b,outputsigned[9:0]quotient,outputsigned[7:0]reside);assignquotient=a/b;assignreside=a%b;endmodule......
  • http请求的特殊符号对应的变形
    ‘+’URL中+号表示空格%2B空格URL中的空格可以用+号或者编码%20/分隔目录和子目录%2F?分隔实际的URL和参数%3F%指定特殊字符%25#表示书签%23&URL中指定的参数间的分隔符%26=URL中指定参数的值%3D:URL中指定参数的值%3A###......
  • Xilinx VIvado学习-01 数值处理之乘法(有符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1modulesi_product(2inputsigned[9:0]a,3inputsigned[7:0]b,4outputsigned[17:0]product5);6assignproduct=a*b;7endmodule仿真代码:1modulesi_product_tb;2regsys_......
  • Xilinx VIvado学习-01 数值处理之乘法(无符号)
    Verilog数值处理,在处理减法的时候,需要注意溢出问题。实例:a*b=c 1`timescale1ns/1ps2//////////////////////////////////////////////////////////////////////////////////3//Company:4//Engineer:5//6//CreateDate:2023/10/2323:33:077//......
  • 弱符号与强符号 vs 弱引用与强引用
    弱符号与强符号说明对于C/C++语言来说,编译器默认函数和初始化了的全局变量为强符号,未初始化的全局变量为弱符号。我们也可以通过GCC的"__attribute__((weak))"来定义任何一个强符号为弱符号。注意,强符号和弱符号都是针对定义来说的,不是针对符号的引用。比如我们有下面这段程序:......