首页 > 其他分享 >SoCKit点灯

SoCKit点灯

时间:2023-06-08 23:34:22浏览次数:50  
标签:SoCKit 点灯 CLOCK counter 50 File clock out


USB blasterII的驱动安装 参考资料:My first FPGA

File > New Project Wizard

SoCKit点灯_符号文件


设置路径和工程名字

SoCKit点灯_符号文件_02


不添加其它文件,大不了后面在工程里面再手动添加

SoCKit点灯_文件名_03


选择SoC型号

SoCKit点灯_Verilog_04

把VHDL改成Verilog,不改也行

SoCKit点灯_Verilog_05


新建项目完成

然后新建一个文件, File > New > Block Diagram/Schematic File

SoCKit点灯_符号文件_06


按ctrl+s无法保存,在file-save as里面保存

SoCKit点灯_符号文件_07


新建Verilog文件

SoCKit点灯_文件名_08


写Verilog

SoCKit点灯_符号文件_09

//It has a single clock input and a 32-bit output port
module simple_counter (
	CLOCK_50,
	counter_out
);
input CLOCK_50 ;
output [31:0] counter_out;
reg [31:0] counter_out;
always @ (posedge CLOCK_50) // on positive clock edge
begin
	counter_out <= #1 counter_out + 1;// increment counter
end
endmodule // end of module counte

更改文件名,保存文件

SoCKit点灯_Verilog_10


使用该v文件创建符号文件,便于在bdf文件中添加器件

File > Create/Update > Create Symbol Files for Current File

创建成功,底下命令行没有报错

SoCKit点灯_Verilog_11


在blink.bdf下,右键,insert-symbol,插入刚刚建立的符号文件

SoCKit点灯_Verilog_12


用wizard添加pll ip核

SoCKit点灯_Verilog_13


设置pll的名字(这里取名为PLL)

SoCKit点灯_符号文件_14


ip核设置如下:

SoCKit点灯_Verilog_15


生成成功

SoCKit点灯_Verilog_16


设置线宽,在property的名称里面加上[31…0],表示32根线

添加多路选择器,利用ip核

Plug-Ins > Gates > LPM_MUX

SoCKit点灯_Verilog_17


SoCKit点灯_Verilog_18


画完最终如下:

SoCKit点灯_Verilog_19


分配引脚:

Processing > Start > Start Analysis & Elaboration

Assignments > Pins

PIN assignments的几个值介绍

SoCKit点灯_Verilog_20


分配情况如下:

SoCKit点灯_Verilog_21


编写SDC,Synopsys Design Constraints File

Tools > TimeQuest Timing Analyzer

在新弹出的窗口,File > New SDC file

代码如下:

create_clock -name "CLOCK_50" -period 20.000ns [get_ports {CLOCK_50}]
derive_pll_clocks
derive_clock_uncertainty

ctrl+s保存

编译,生成SRAM Object File (.sof),步骤如下:

点紫色箭头即可编译

可以查看资源使用情况

SoCKit点灯_符号文件_22


下载程序:

Tools > Programmer.

按照手册下载程序报错了怎么办?

SoCKit点灯_Verilog_23


点灯成功!

SoCKit点灯_文件名_24


标签:SoCKit,点灯,CLOCK,counter,50,File,clock,out
From: https://blog.51cto.com/u_16131692/6444241

相关文章

  • 实现表格中各单元格字段都支持自定义点灯的思路
    1.数据库,增加一个点灯信息字段:内容为json字符串存储,key即为每个列的字段名,内容就为点灯颜色。eg:lightInfo:{"name":"red","id":"blue"}2.前台用lightInfo[该列对应的具体的字段名]动态获取对应字段的点灯信息。3.前台点灯的编辑方式,可以采用vxetable右键menuConfig或是......
  • vivado2019.2新建工程点灯
    官方视频教程地址但是看b站的黑金视频更快些最后是靠这个教程点出来的new一个工程点next设置工程名字和路径,注意不要有中文和空格选择创建RTL工程点灯不需要添加外部的ip等文件,所以不用选,直接next先不加约束,点next用的是依元素公司的EES303开发板,芯片型号是XC7A35T-1CSG324C......
  • C51笔记-郭天祥-第二章 从点灯大师开始
    第2章  Keil软件的使用及流水灯设计 Keil的用法:用Keil建立工程;            工程配置;            C51单片机程序软件仿真、单步、全速、断点设置和变量查看等; 用一个完整的C51程序操控LED亮灭;调用库函数实现流水灯;蜂鸣器与继电器的操作方法,集......
  • stm32远程点灯
    Stm32+ESP8266-01S远程控制LED接线:stm32f103c8t6esp8266-01sPA2(Usart_TX)RXPA3(Usart_RX)TX3.3v3.3vGNDGNDPC14(可以不接)RST直接上代码:esp8266.c//单片机头文件#include"stm32f10x.h"//网络设备驱动#include"esp8266.h"//硬件......
  • msp430点灯实验
    title:msp430点灯实验date:2023-04-1515:31:25description:基于msp430f5529点灯实验一、实验内容使用开发板:msp430f5529使用的LED灯:为开发板上自带的UserLEDs(LED1、LED2)环境:CCS(Version:12.2.0.00009)通过ccs编写代码,控制LED1和LED2交替闪烁二、开发板介绍......
  • 如何快速成为点灯大师?
    大家好,我是良许。随着疫情的平稳,最近全国各地都陆续放开了。但很不幸,我的几个号主朋友不小心中招了,成了「阳过」。良许在此提醒各位朋友,放开不等于躺平,该做的防护一个都......
  • 如何快速成为点灯大师?
    大家好,我是良许。随着疫情的平稳,最近全国各地都陆续放开了。但很不幸,我的几个号主朋友不小心中招了,成了「阳过」。良许在此提醒各位朋友,放开不等于躺平,该做的防护一个都不能......
  • Air780E使用LuatOS烧录工具搭建与点灯
    参考链接:LuatOS准备工作根据参考链接内容,准备TypeC数据线,下载Luatools。在固件gitee库下载固件,我选择是LuatOS-SoC@EC618V1001支持Air780E/Air600E这个固件,点击下载zi......
  • 使用树莓派PICO点灯
    使用树莓派PICO点灯树莓派PICO简介具体步骤方式①下载Thonny软件②为PICO烧录MicroPython固件①下载UF2文件②PICO进入boot模式烧录固件③进行点灯效果总结  具体步骤......
  • arduino 合宙esp32c3 初体验--点灯
    刷B站看到很多人都说合宙esp32c39.9元简易版,划算的很,赶紧购入一块和lcd扩展,拿到手后开始焊接接线柱,然后就开始试着点灯操作步骤:1.安装arduino2.安装arduino的esp32相关......