首页 > 其他分享 >新建db_prop_channel库,执行以下语句

新建db_prop_channel库,执行以下语句

时间:2022-08-15 17:28:41浏览次数:53  
标签:COMMENT SET utf8mb4 db prop COLLATE unicode NULL channel

数据库名称:db_prop_channel

表名 中文名 说明
Req_tgkh_dbf 投顾开户请求表 对应中登请求协议
Req_tgkh_tmp_zwj 投顾开户请求主文件表
Rep_tgkh_dbf 投顾开户响应表 对应中登响应协议
Rep_tgkh_tmp_zwj 投顾开户响应主文件表

执行语句可以建表

/*
 Navicat Premium Data Transfer

 Source Server         : rm-bp19kkjy1bne46g1cso.mysql.rds.aliyuncs.com
 Source Server Type    : MySQL
 Source Server Version : 80018
 Source Host           : rm-bp19kkjy1bne46g1cso.mysql.rds.aliyuncs.com:3306
 Source Schema         : db_prop_channel

 Target Server Type    : MySQL
 Target Server Version : 80018
 File Encoding         : 65001

 Date: 15/08/2022 16:59:25
*/

SET NAMES utf8mb4;
SET FOREIGN_KEY_CHECKS = 0;

-- ----------------------------
-- Table structure for rep_tgkh_dbf
-- ----------------------------
DROP TABLE IF EXISTS `rep_tgkh_dbf`;
CREATE TABLE `rep_tgkh_dbf`  (
  `sbbh` int(10) UNSIGNED NOT NULL COMMENT '申报编号',
  `uid` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NOT NULL COMMENT '用户ID',
  `jylsh` varchar(16) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT 'PROP交易流水号',
  `jgdm` varchar(4) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '结果代码',
  `wjbz` varchar(1) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '应答数据文件标志',
  `zwjm` varchar(24) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '应答主记录数据文件名',
  `cwjm` varchar(24) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '应答从记录数据文件名',
  `fqsj` varchar(14) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '应答到达日期时间',
  `clbz` varchar(1) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '应答处理标志',
  `clsm` varchar(40) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '处理说明',
  `by` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '备用'
) ENGINE = InnoDB AUTO_INCREMENT = 0 CHARACTER SET = utf8mb4 COLLATE = utf8mb4_unicode_ci ROW_FORMAT = Dynamic;

-- ----------------------------
-- Table structure for rep_tgkh_tmp_zwj
-- ----------------------------
DROP TABLE IF EXISTS `rep_tgkh_tmp_zwj`;
CREATE TABLE `rep_tgkh_tmp_zwj`  (
  `sbbh` int(10) UNSIGNED NOT NULL COMMENT '申报编号',
  `uid` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NOT NULL COMMENT '用户ID',
  `ywlsh` varchar(10) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务流水号',
  `ywlb` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务类别',
  `zjlb` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '主要身份证明文件类型',
  `zjdm` varchar(40) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '主要身份证明文件代码',
  `khmc` varchar(120) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '客户名称',
  `khjgdm` varchar(6) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务发起开户代理机构代码',
  `khwddm` varchar(10) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务发起开户代理网点代码',
  `sqrq` varchar(8) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '申请日期',
  `jgdm` varchar(4) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '结果代码',
  `jgsm` varchar(40) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '结果说明',
  `cym` varchar(120) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '曾用名',
  `xb` varchar(1) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '性别',
  `mz` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '民族',
  `csrq` varchar(8) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '出生日期',
  `fwcs` varchar(200) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '服务处所',
  `whcd` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '文化程度',
  `hyzk` varchar(8) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '婚姻状况',
  `jg` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '籍贯',
  `csd` varchar(200) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '出生地',
  `hjdz` varchar(200) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '户籍地址',
  `bz` varchar(10) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '备注',
  `fjmc` varchar(254) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '附件名称',
  `fjcd` varchar(12) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '附件长度',
  `fj_data` longblob NULL COMMENT '附件数据'
) ENGINE = InnoDB AUTO_INCREMENT = 0 CHARACTER SET = utf8mb4 COLLATE = utf8mb4_unicode_ci ROW_FORMAT = Dynamic;

-- ----------------------------
-- Table structure for req_tgkh_dbf
-- ----------------------------
DROP TABLE IF EXISTS `req_tgkh_dbf`;
CREATE TABLE `req_tgkh_dbf`  (
  `sbbh` int(10) UNSIGNED NOT NULL COMMENT '申报编号',
  `uid` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NOT NULL COMMENT '用户ID',
  `fwym` varchar(16) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT 'PROP服务域名',
  `fwm` varchar(16) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT 'PROP服务名',
  `fwlx` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT 'RPOP服务类型',
  `wjbz` varchar(1) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '请求数据文件标志',
  `zwjm` varchar(24) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '请求主记录数据文件名',
  `cwjm` varchar(24) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '请求从记录数据文件名',
  `sbrq` varchar(8) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '申报日期',
  `sbsj` varchar(6) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '申报时间',
  `zddclsj` varchar(5) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '最大待处理时间',
  `clbz` varchar(1) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '请求处理标志',
  `jylsh` varchar(16) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT 'PROP交易流水号',
  `by` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '备用',
  `jym` varchar(4) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '请求数据文件校验码'
) ENGINE = InnoDB AUTO_INCREMENT = 0 CHARACTER SET = utf8mb4 COLLATE = utf8mb4_unicode_ci ROW_FORMAT = Dynamic;

-- ----------------------------
-- Table structure for req_tgkh_tmp_zwj
-- ----------------------------
DROP TABLE IF EXISTS `req_tgkh_tmp_zwj`;
CREATE TABLE `req_tgkh_tmp_zwj`  (
  `sbbh` int(10) UNSIGNED NOT NULL AUTO_INCREMENT COMMENT '申报编号作为唯一主键',
  `uid` varchar(20) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NOT NULL COMMENT '用户ID',
  `ywlsh` varchar(10) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务流水号',
  `ywlb` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务类别',
  `zjlb` varchar(2) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '主要身份证明文件类型',
  `zjdm` varchar(40) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '主要身份证明文件代码',
  `khmc` varchar(120) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '客户名称',
  `khjgdm` varchar(6) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务发起开户代理机构代码',
  `khwddm` varchar(10) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '业务发起开户代理网点代码',
  `sqrq` varchar(8) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '申请日期',
  `fjmc` varchar(254) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '附件名称',
  `fjcd` varchar(12) CHARACTER SET utf8mb4 COLLATE utf8mb4_unicode_ci NULL DEFAULT NULL COMMENT '附件长度',
  `fj_data` longblob NULL COMMENT '附件数据',
  PRIMARY KEY (`sbbh`) USING BTREE
) ENGINE = InnoDB AUTO_INCREMENT = 0 CHARACTER SET = utf8mb4 COLLATE = utf8mb4_unicode_ci ROW_FORMAT = Dynamic;

SET FOREIGN_KEY_CHECKS = 1;

标签:COMMENT,SET,utf8mb4,db,prop,COLLATE,unicode,NULL,channel
From: https://www.cnblogs.com/codebai/p/16588944.html

相关文章

  • DBPack 限流熔断功能发布说明
    上周我们发布了v0.4.0版本,增加了限流熔断功能,现对这两个功能做如下说明。限流DBPack限流熔断功能通过filter实现。要设置限流规则,首先要定义RateLimitFilter:-......
  • QGIS | 解决打开ESRI *.mdb数据报错的问题
    QGIS|解决打开ESRI*.mdb数据报错的问题 有些朋友在使用QGIS打开ESRI的*.mdb数据时,会报错:“InvalidDataSource:PGeo:D:\MyData\arcgistutorialdata\ArcTutor\Da......
  • docker MongoDB
    dockerpullmongodockerrun--namemongo--restart=always-p27017:27017-v/mnt/mongodb/data:/data/mongo/db-v/mnt/mongodb/backup:/data/mongo/backup-v/m......
  • 【WPF】TextBox 、PasswordBox水印效果
    两种方式可以实现TextBox的水印效果1、行为,详细请看2、样式,下面主要介绍样式现实水印效果。效果如下:   xaml完整代码<Windowx:Class="WpfApp05.MainWindow"......
  • App测试时常用的adb命令你都掌握了哪些呢?
    本次训练营开营时间:8月7日14:00-17:00名额有限,扫码即可锁定听课名额adb全称为AndroidDebugBridge(Android调试桥),是AndroidSDK中提供的用于管理Android模拟......
  • Oracle中DBLink的相关操作
    Oracle中的DBLink的相关操作摘要:​ DBLink,全称databasselink(数据库连接),就是在一个数据库中创建另一个数据库的连接或着说映射,然后就可以在数据库中通过tableName@DBLi......
  • 元素JS增删改查类名,报错TypeError: Cannot set properties of null (setting 'classNa
    在写原生js吸顶效果的时候,使用search.className="ceiling",添加类名,报以下错误。但效果可以正常执行    查阅文档,发现是可以存在空格就执行了这句代码search.c......
  • 面试突击74:properties和yml有什么区别?
    properties和yml都是SpringBoot支持的两种配置文件,它们可以看作是SpringBoot在不同时期的两款“产品”。在SpringBoot时代已经不需要使用XML文件格式来配置......
  • vue学习之------props验证
    1、props类型有哪些?2、为同一个属性,指定多个可能类型 ......
  • Sharding-JDBC使用
    Sharding-JDBC使用一、分库分表1.1为何要分库分表传统的将数据集中存储至单一节点的解决方案,在性能、可用性和运维成本这三方面已经难于满足海量数据的场景从性能方......