首页 > 其他分享 >Tessent On-Chip Clock Controller (二)

Tessent On-Chip Clock Controller (二)

时间:2022-08-15 14:48:55浏览次数:44  
标签:slow Tessent clock Chip fast Controller design mode OCC

1. OCC design description

  OCC design有三种类型:Standard,Parent和Child。

2. Standard OCC

  

 

  • 标准OCC有全部三个功能,selection、chopping和gating。标准OCC被推荐在hierarchy ATPG中使用,可用于intest模式pattern retageting;
  • 理想状态下OCC应该放在design core里面,对local CG进行控制,方便pattern retargeting;
  • fast clock一般来源于functinal clock source,通常是PLL,而slow clock应当是top level来的shift和slow capture clock,通常由ATE机台产生,因此频率通常是可调的;
  • fast clock和slow clock的选择由OCC上test mode信号控制;test mode为functional mode的时候,fast clock穿过OCC直接到达design,test mode选择test mode时,fast clock用于at speed capture,slow clock用于shift和slow capture.

 

  

 

标签:slow,Tessent,clock,Chip,fast,Controller,design,mode,OCC
From: https://www.cnblogs.com/6y4z/p/16588221.html

相关文章