首页 > 其他分享 >HDMI原理详解以及时序流程(视频是三对差分信号,音频Audio是PCM级(无压缩)传输,包含在数据包内,依靠协议规定采样)

HDMI原理详解以及时序流程(视频是三对差分信号,音频Audio是PCM级(无压缩)传输,包含在数据包内,依靠协议规定采样)

时间:2022-11-11 18:57:23浏览次数:66  
标签:HDMI Data Hotplug 传输 TMDS PCM EDID 数据包

资料来源:HDMI介绍与流程 - TaigaComplex - 博客园

最近要用ZYNQ开发版的HDMI做显示,看着硬件管脚和例程只能发呆,于是决心去弄清楚HDMI的工作原理,查找了很多资料,都是碎片化的,不易懂。于是我加以整理,再以初学者(没有接触过任何视频传输接口)的角度在这篇博客里阐述。

       对于初学者先了解VGA,再来看HDMI会更容易理解,有关VGA原理在我的上一篇博客中有详细说明。

HDMI,全称为(High Definition Multimedia Interface)高清多媒体接口,主要用于传输高清音视频信号。

HDMI引脚:

HDMI有A,B,C,D,E五种引脚类型,目前市面中比较常见的就是Type A:

其中

  • 1-9 都是TMDS数据传输实际上用到的引脚,分为0,1,2三组
  • 10-12 为TMDS时钟信号,如当前Video Timing为480p@60Hz(Htotal:800,Vtotal:525),则TMDS clock = 800x525x60 = 25.2MHz。TMDS clock就像是对像素的打包,一个clock分别在三个Channel传输一个像素的R、G、B(8bit)信号。
  • 13 为CEC(consumer electronic control)类似一种扩展的HDMI功能,供厂家自己定制HDMI消息,(比如说你有一台sony的DVD与TV,两者用HDMI线接上,如果你用TV的遥控器可以控制DVD,另DVD执行某种功能,那么该功能的命令信号就是通过TV与DVD间的CEC引脚传输的)
  • 14 为保留引脚,未使用(或者也可以为CEC提供多一个引脚)
  • 15-16 为I2C引脚,用于DDC(Display Data Channel,主要用于EDID(EDID,Extended display identification data,中文名称扩展显示器识别数据,里面包含显示器也就是HDMI从机设备的像素显示制式一些信息,详细见百度百科)与HDCP(high bandwidth digital content protection高带宽数字内容保护技术)的传输)传输。在HDMI的流程中,DDC通信几乎是最先做的(前有Hotplug),因为HDMI的主从两个设备需要通过DDC来获得他们对方设备的EDID,从而得到各种信息,并且通过比较timming以确定以后送出来的timming为最合适的
  • 17 为接地引脚
  • 18 为5v的AC引脚
  • 19 为Hotplug(热拔插)引脚(用于监测HDMI设备有没有存在,如果存在(Hotplug为high)那么可以通过DDC去读EDID),HDMI有规定在HDMI 5vAC断电时source device可以读reciever device的EDID,也就是需要Hotplug为High。其中有两种Hotplug相关的情况会导致HDMI被识别为DVI:
    • Hotplug为High,不过EDID并没有准备好,那么信号源设备会由于无法读到EDID而认为接收设备为DVI,这样会导致HDMI有图像无声的问题。
    • Hotplug为Low,也会导致信号源无法读到EDID而认为接收设备为DVI,从而导致HDMI有图无声
    • 在TV这种有多个HDMI通道的情况下,有时会在多个HDMI通道进行切换,切换后HDMI通道应当先初始化,即先把Hotplug拉低,通知HDMI source device之前所用的EDID已经改变,需要重新读取,那么source device在Hotplug被拉高的时候会去读取新的EDID,但是拉低这个过程至少需要100ms,否则source device有可能不会去读取新的EDID,从而输出DVI信号

传输流程

HDMI TMDS传输的数据类型有三种(加上Hsync与Vsync就算4种):

  1. Preamble(控制信息),主要用于控制接下来传输的数据是Data Island或者Video Data
  2. Data Island(数据包),各种类型的包信息,包括音频数据包,图像信息包等
  3. Video Data (视频信息),视频像素数据,HDMI可以传输RGB与YUV两种格式的像素数据
  4. 还有Hsync与Vsync

HDMI的数据传输有TMDS0,TMDS1,TMDS2三个通道,每个通道的传输流程都是一样的:

  

如果是8bit的数据进入TMDS编码器,得到抗干扰性强的10bit TMDS信号,然后再进行串行化输出;在接收端收到串行的HDMI信号后,进行信号复原,得到10bit的TMDS信号,最后用TMDS解码器解码得到原来的8bit数据。

TMDS部分

       一般来说,HDMI传输癿编码格式中要包括视频数据、控制数据和数据包(数据包中包括音频数据和附加信息数据,例如纠错码等)。TMDS每个通道在传输时要包含一个2bit的控制数据、8bit的视频数据戒者4bit的数据包即可。在HDMI信息传输过程中,可以分为三个阶段:视频数据传输周期、控制数据传输周期和数据岛传输周期,分别对应上述的三种数据类型。 下面介绍TMDS中采用的技术:

1.传输最小化

以单个通道的8位数据为例,8位数据经过编码和直流平衡得到10位最小化数据,这仿佛增加了冗余位,对传输链路的带宽要求更高,但事实上,通过这种算法得到的10位数据在更长的同轴电缆中传输的可靠性增强了。下图是一个例子,说明对一个8位癿并行RED数据编码、并/串转换。

       第一步:将8位并行RED数据传送到TMDS发送端。

       第二步:并/串转换.

       第三步:进行最小化传输处理,加上第9位,即编码过程。第9位数据称为编 码位。

2.直流平衡

       直流平衡(DC-balanced)就是指在编码过程中保证信道中直流偏移为零。方法是在原本的9位数据的后面加上第10位数据,返样,传输的数据趋于直流平衡,使信号对传输线的电磁干扰减少,提高信号传输的可靠性。

3.差分信号

       TMDS差分传输技术是一种刟用2个引脚间电压差来传送信号癿技术。传输数据的数值(“0”或者“1”)由两脚间电压正负极性和大小决定。即,采用2根线来传输信号,一根线上传输原来的信号,另一根线上传输与原来信号相反的信号。这样接收端就可以通过让一根线上的信号减去另一根线上的信号的方式来屏蔽电磁干扰,从而得到正确的信号。 如下图所示:

总体传输流程如下:

  

  1. 如果传输的是Video Data,并且格式为RGB,那么会占用三个通道的所有24bit输入,Channel0[7:0]用于传输B,Channel1[7:0]用于传输G,Channel2[7:0]用于传输R。
  2. 如果传输的是Data Island,则占用三个通道共10bit输入,Channel0[3:2]用于传输Data Island Header(包头),Channel1[0:3]与Channel2[0:3]用于传输Data Island Content(包内数据)。
  3. 如果传输的是Preamble,则占用1,2两个通道共4bit输入,Channel1[1:0]与Channel2[1:0]分别为CTL0,CTL1,CTL2,CTL3,用于判断接下来输入的是Video Data或者Data Island

对于Hsync与VSync,会占用Channel0通道的两个bit输入,Channel0[0]为Hsync,Channel0[1]为Vsync

传输周期

HDMI的TMDS数据传输可以分为三个传输周期:

  1. Control Period期间会传输Hsync,Vsync,并且在该时期的最后阶段会传输Preamble
  2. Data Island Period期间会传输Data Island(数据包),也会有Hsync与Vsync
  3. Video Data Period期间会传输Video Data(视频像素数据)

某帧的总体周期如下:

三个传输周期的过渡如下:

  1. 左边是Control Period,传输有Hsync,Vsync与Preamble
  2. 中间是Data Island Period,传输有Hsync,Vsync,以及两个Packet Header与Packet(每32个clock 一个packet);另外Data Island的两端会用Guard Band保护并隔开Data Island的数据,因为这个阶段传输的数据大多是非常重要的,比如其中就有图像分辨率,决定后面的Video Data数据的显示方式
  3. 右边是Video Data Island,传输视频像素数据,在该时期的开头也有Guard Band

Data Island Packet结构

所有Data Island Packet都以32个时钟脉冲为一个周期,也就是说每32 clk传输一个包。

以上图为例,

  1. 包头部是BCH block 4,由Channel0[2]传输,32clk表示有32bit,则为4byte,前三个byte为包头,最后一byte为校验码
  2. 包体为BCH block 0,1,2,3,分别由Channel1,Channel2共8根线传输,共有24byte与6byte的校验码
  3. Parity Bits校验码是用于检验HDMI Cable传输过程中是否发生了错误,如果该Packet在HDMI接收端校验错误,如果只有一个bit的错误,那么可以修正,超过1bit的错误会被判别为无效Packet(由于HDMI是一直在发送数据因此无法重发错误Packet?)

所以说,在接收端,在解完包之后,需要取出各个BCH block的Parity bit,进行Calibration(校验)

Packet类型各种各样,详细请看HDMI Spec

Audio Clock

Audio的采样率有44100,48000,192000等,是各种各样,在HDMI传输时,Audio是PCM级(无压缩)传输,把PCM数据打散到各个包内,为了得到每个音频帧的数据,也需要知道Audio的采样率。HDMI中规定Audio的传输方式:

Audio采样率fs重建依靠的主要参数为:

  1. TMDS Clock
  2. CTS
  3. N

在发送设备这端,已知参数有采样率fs,视频时钟Video Clock(TMDS clock),以及预先设定好的参数N,求CTS:

CTS=Nf TMDS 128×f x   CTS=N∗fTMDS128×fx CTS = \frac{N *f_{TMDS}}{128\times{f_x}}

在接收设备这端,TMDS clock通过硬件设备可以得到,N,与CTS通过Audio Packet传输过来,求fs:

128∗f s =N×f TMDS CTS  128∗fs=N×fTMDSCTS 128*f_s = \frac{N\times{f_{TMDS}}}{CTS}

在接收端为了保持fs的稳定与精确,需要进行锁相,即用VCO(Voltage-controlled oscillator压控振荡器,通过电压控制产生的频率)产生合适的频率,然后用PFD(Phase Frequency Detector)来锁频

  1. 首先,由于VCO有个最佳的工作区域如(200MHz~500MHz),那么为了保证VCO在最佳工作频率内,我们可以从后倒推回来,先对输出的fa128做乘法得到

f vco =f a128 ×S×S 2  fvco=fa128×S×S2 f_{vco} = {f_{a128}}\times{S}\times{S_2}

由于f a128  fa128 f_{a128} 只有那么几种(44.1k,48k等),所以比较容易得到S S S 与S 2  S2 S_2

  1. 然后,为了更快进行频率匹配,需要对近来的频率f x  fx(就是晶振时钟f crystal  fcrystal)或者f v  fv(pixel clock)做除法,也对f vco  fvco做除法,令两个趋向相等。对于细微的区别可以用D Code 进行修正

f vco M =f x K  fvcoM=fxK \frac{f_{vco}}{M} = \frac{f_x}{K}

  1. 最后做PFD锁相
  2. 第2,3步的反馈操作循环地进行,最后可以得出比较稳定的f vco  fvco
  3. 最终得到

f a128 =f vco S×S 2   fa128=fvcoS×S2 f_{a128} = \frac{f_{vco}}{{S}\times{S_2}}

HotPlug

HotPlug即热拔插,当接上接口时就可以判定设备是否存在,以进行后续工作。

HDMI source device(HDMI HPD)会监测sink device的Hotplug端口,如果Hotplug为High,则证明设备可以工作,然后去读取DCC,如果为low,则证明设备已断开。

HDMI sink device应该通过把Hotplug拉低,来通知source device EDID已经被改变,那么source device在Hotplug被拉高后,就会重新来读取新的EDID,拉低这段时间应该多于100ms。

HDMI规定,HDMI 的5v引脚通电时,可以通过DCC去读取EDID,即需要保证Hotplug为high,有些Hotplug是直接接到5V上的(如下)。

Hotplug接法:(HDMI HPD(Hotplug detect ?)检测sink的Hotplug端)

上面用5V引脚进行供电,并接上Hotplug,这样做就能保证每次source device接上sink device时,都可以去读取到EDID。但是这样做有一个缺点,当5V电源断开时,会有5v的电压回灌给HDMI HPD与Hotplug,5V电压会冲击Hotplug,一旦Hotplug引脚无法承受5V电压的回灌,会被打穿。

下面有个较好的Hotplug接法:

上面用的是额外的GPIO引脚加上三极管控制HDMI HPD为0还是1,如果HDMI0_HPD_CTL输出0,那么三极管断开,HDMI0_HPD侦测到High,如果HDMI0_HPD_CTL输出1,那么三极管打通,HDMI0_HPD侦测到low。

HDMI Sink

例如像TV这种就是HDMI的接收端,那么HDMI接收端需要做些什么东西。

HDMI可以接收到的有三个通道的TMDS Data,TMDS Clock,可以设置Hotplug,还有DCC传输用的I2C引脚。上面已经讲了TMDS Data,与设置Hotplug,接下来分析TMDS Clock。

TMDS Clock 就是Pixel Clock,即一个像素点所用的时钟频率。TMDS Clock通过clk 引脚传输到接收端,但是接收端并不清楚发送端发过来的TMDS Clock 频率为多少,因此需要通过Phy(PHY是模拟数字转换部分,不同于ADC,PHY是不知道采样频率的,需要自己锁频、锁相,侦测确切的输入频率)来进行锁相得到。但是由于HDMI频宽太宽(480P@60Hz为25.2MHz,1080P@60Hz为162MHz,甚至还有高达340MHz的),一般VCO(压控振荡器,通过电压控制产生的频率)无法覆盖这么大的范围,因此需要分频带来设置Phy:

先侦测输入频率落在哪个频带,然后根据不同频带做不同设置。

用TV产生的晶振来数count,数得count后就知道TDMS Clock了

f crystal =count×f TMDS  fcrystal=count×fTMDS f_{crystal} = {count}\times{f_{TMDS}} ??

或者用1024个TMDS Clock来数晶振个数

1024×f TMDS =count×f crystal  1024×fTMDS=count×fcrystal {1024}\times{f_{TMDS}} = {count}\times{f_{crystal}} ??

由于视频信号从RGB个8bit通过TMDS编码后变成了10bit,然后又串行化,所以实际用于接收TMDS Data所用的时钟应该为:

f ReceiveClock =10×f TMDS  fReceiveClock=10×fTMDS f_{ReceiveClock} = {10}\times{f_{TMDS}}

另外ReceiveClock也可以不用直接采用上面的乘法,而是采用TMDSClock为参考、硬件锁相的方法来得到。

得到ReceiveClock后就可以去设置频率PLL,然后对三个通道进行采样得到TMDS Data。

Timming Detect

在Sink端还有需要进行Timming Detect,因为如果设备可以支持(如chroma),HDMI可以自由更换Timming,而当Timming更换了之后,Sink需要重新设定Phy。因此,通过侦测频率的改变来检测是否更换了Timing是必要的。一般会有一个中断服务(或循环)线程来侦测频率的改变,一旦频率改变后,该进程会通知重新设定Phy,保证HDMI的正确运行 

HDMI版权内容保护之HDCP

HDCP通过DDC传输

HDCP主要用于版权视频的保护,举例来说,如果有一台蓝光DVD播放机可以播放blueray DVD,并且该DVD已经获得HDCP授权,你现在想把该DVD影像输出到某台TV,但是该TV没有获得HDCP授权,那么该TV可能就没法播放影像,或者播放质量下降,如出现雪花,图像从1080p变为480p,或者没有声音,都有可能。

HDCP是靠两个设备的交互进行HDCP授权认证的,认证流程如下

  1. Transmitter会发送一个key An(64bit)与Aksv(key selection vector 40bit)给Receiver
  2. Receiver接收到An后,也会发送一个Bkvs以及REPEATER(表明B设备是否为Repeater设备)给Transmitter
  3. Transmitter开始HDCP认证码算法:

要理解算法,首先我们需要知道ksv是用来干嘛的

    1. 在每个HDMI设备内部,都会保存40组64bit的key,key[40]
    2. 40bit的kvs,每一个bit都是一个索引,当kvs的某一位n为1时,会把key[n]取出来,
    3. 把所有的key[n]相加,得到km,
  1. Receiver也会做HDCP认证码算法这个步骤得到km'
  2. Transmitter与Receiver都会用km\km'去做hdcpBlkCipher,得到一个值R0与R0'
  3. 100ms后Receiver把R0'发送到Transmitter与R0做比较,相等则认为认证完毕。当然km = km'才能保证R0 = R0'。
  4. 此后的每一帧,Transmitter与Receiver都会运行一次hdcpBlockCipher,不过参数为上次生成的Ks与M,生成的新参数为Ks,M,T

  1. 在第128帧的时候,另R = T
  2. 在间隔第一次通信的2s后,再次进行认证
  3. 后续都采用7,8,9这三个步骤进行迭代认证

此外HDMI自1.1后还支持一个更快速与频繁的认证方式,就是上方设备通信图的下半部分

  1. 在每第16的倍数帧,用T与当前帧的Channel0的0像素做异或得到Pj
  2. Channel0的0像素到达Sink后,也与Sink的T‘做异或得到P'j
  3. Sink把P'j发送到Source,与Pj做比较,相同则通过认证

了解HDCP对于处理HDMI的异常现象很有帮助,比如说如果时而出现雪花,有可能是信号不好导致Channel0的0像素出错,从而第二阶段的认证有时会不成功...

HDMI Sink总流程

  1. 提取与分割10bit的TMDS串行数据
  2. 10bit的数据,通过不同线路进来的,判断是那种类型的:DE,Data Island,RGB,Hs,Vs,Ctrl
  3. TMDS解码
  4. HDCP解码,同时Hs,Vs,DE做delay
  5. RGB与DE,Hs,Vs...
  6. BCH解码得到Packet,错误验证
  7. Packet含义解析
  8. 如果是信息,则存到内存
  9. 如果是Audio Data,生成采样频率
  10. Audio输出

HDMI详解_LupinLeo的博客-CSDN博客_hdmi

附加: 
1、DDC是显示器与电脑主机进行通信的一个总线标准,其全称是:DISPLAY DATA CHNNEL。它的基本功能就是将显示器的电子档案资料信息,诸如可接收行场频范围、生产厂商、生产日期、产品序列号、产品型号、标准显示模式及其参数、所支持的DDC标准类别、EDID的版本信息等等。高版本的DDC标准总线还可以允许电脑主机直接调节显示器的基本参数,诸如亮度、对比度、行场幅度的大小、行场中心位置、色温参数等等。

2、EDID数据标准:EDID(Extended Display Identification Data Standard) 就是显示器通过DDC传输给电脑主机的标准数据信息,至今已发布到第三版本,即EDID Version 3,前面分别有EDID Version 1.0,Revision 0,EDID Version 1,Revision 1,EDID Version 2,Revision 0,EDID Version 2,Revision 1等版本。就数据信息量而分,EDID分为128 BYTE和256 BYTE,将来也许会有更多数据信息量的新版EDID公布。

3、TMDS是最小化传输差分信号的英文缩写。 
Silicon Image公司开始采用面板连接、数字可视接口(DVI)和高清多媒体接口(HDMI)的形式向显示行业推广其所有权标准——最小化传输差分信号 (TMDS)。在该情况下,发射端混合了具有在铜导线上降低EMI特性的更高级编码算法,从而使得接收端具有健壮的时钟恢复性能

标签:HDMI,Data,Hotplug,传输,TMDS,PCM,EDID,数据包
From: https://www.cnblogs.com/amxiang/p/16881448.html

相关文章

  • HDMI协议
    HDMI协议概述物理结构传输ControlPeriod(控制数据)VideoDataPeriod(视频数据)DataIslandPeriod(数据包)三种数据传输注意事项实体模型EDID&DDCHPD......
  • ERP 基础数据包括哪些?
    一套真正意义上的ERP系统,上千个数据表,单表字段数上百个,而基础数据首当其冲的就是物料BOM,这些数据包括物料基本数据、工艺流程等,物料表字段数数百个,如下图所示,涉及主要信......
  • 《吐血整理》高级系列教程-吃透Fiddler抓包教程(33)-Fiddler如何抓取WebSocket数据包
    1.简介本来打算再写一篇这个系列的文章也要和小伙伴或者童鞋们说再见了,可是有人留言问WebSocket包和小程序的包不会抓,那就关于这两个知识点宏哥就再水两篇文章。2.什么是......
  • PHPcms分页实现多种效果
    2022-11-10phpcms修改分页实现多种效果"首页、上一页、页码数、下一页、末页、共X页、共X条"等,根据自己实际需要来进行更改。实现代码在776行左右1<?php......
  • PHPcms全站搜索查询模糊查询文章内容
    2022-11-10路径:phpcms/modules/search/index.php(具体内容根据自己详细代码进行针对修改)1<?php2defined('IN_PHPCMS')orexit('Nopermissionresources.')......
  • vlc-解码一个RTP数据包函数分析
    函数与解析解码RTP包主要完成的是从RTP包队列中取走一个RTP包,解析是否丢弃,并初始化时间戳,显示时间戳,负载类型,忽略字节等信息,更新包队列信息,并把解析后的该包传递给负载类型......
  • 基于PCM2706的USB-DAC(支持同轴、I²S输出)
    基于PCM2706的USB-DAC(支持同轴、I²S输出)简介:PCM2706是德州仪器公司的一款经典立体声16bitDAC解码芯片,集成HID人机控制接口与USBinterface,支持同轴信号输出(SPDI/F)、IIS数......
  • 直升机FPGA多路视频采集叠加OSD字符VGA-HDMI-DVI-SDI采集融合板卡设计
    视频融合技术是虚拟现实技术的一个分支,也可以说是虚拟现实的一个发展阶段。视频融合技术指将一个或多个由视频采集设备采集的关于某场景或模型的图像序列视频与一个与之相关......
  • Virtex-4 cameralink开发板PCI图像采集卡定制FPGA-HDMI编码-LVDS相机
    cameralink开发板,同时支持Full模式和Base模式,图像数据可以通过排线传输到ARM进行处理FPGA:Virtex-4Cameralink接口芯片:DS90CR288AMTD,双路支持模式:Full模式和Base板载RAM:IS61L......
  • Android的BLE广播数据包解析---Android系列, 蓝牙技术(含BLE)
      一、引言理解和分析这个数据包结构(这里面也涉及广播间隔时间的设置,设备广播数据间隔设置长了,会影响设备被发现的效率;设置短时,又响应功耗)。我们所说的BLE设备,其实......