首页 > 编程语言 >基于FPGA的图像RGB转CIE-Lab实现,包含testbench和MATLAB辅助验证程序

基于FPGA的图像RGB转CIE-Lab实现,包含testbench和MATLAB辅助验证程序

时间:2024-02-14 22:12:07浏览次数:32  
标签:颜色 FPGA CIE XYZ Lab RGB testbench 空间

1.算法运行效果图预览

 

将FPGA的结果导入到matlab,并和matlab的仿真结果进行对比:

 

 

 

2.算法运行软件版本

vivado2019.2

 

matlab2022a

 

3.算法理论概述

      RGB和CIE-Lab是两种常用的颜色空间,它们在不同的应用领域中各有优势。RGB颜色空间主要用于显示设备,而CIE-Lab颜色空间则更适用于颜色测量和计算。因此,将RGB颜色空间转换为CIE-Lab颜色空间具有重要的应用价值。

 

       RGB颜色模式是一种常见的颜色表示方法,它是通过红、绿、蓝三种颜色的不同比例来表示不同的颜色。其中,红、绿、蓝三种颜色的值分别范围在0到255之间。

 

       CIELAB颜色模式是一种更加科学的颜色表示方法,它是基于人眼对颜色的感知来定义的。CIELAB颜色模式包括三个分量:L表示亮度,a表示绿色到红色的色差,b表示蓝色到黄色的色差。RGB到CIELAB转换可以通过以下步骤进行:

 

将RGB颜色模式转换为X, Y, Z三个分量的CIE XYZ颜色模式。

将CIE XYZ颜色模式转换为CIE Lab*颜色模式。

计算CIELAB颜色模式的L, a, b三个分量。

3.1RGB颜色空间

       RGB颜色空间是一种基于红、绿、蓝三种基本颜色的颜色空间。在该空间中,任意一种颜色都可以由这三种基本颜色的不同强度组合而成。RGB颜色空间通常用于显示设备,如电视、计算机显示器等。

 

3.2CIE-Lab颜色空间

       CIE-Lab颜色空间是一种基于人类视觉系统的颜色空间,由国际照明委员会(CIE)制定。在该空间中,颜色的表示与人眼的感知更加一致。CIE-Lab颜色空间由三个分量组成:L表示亮度,a表示红绿色差,b*表示黄蓝色差。

 

3.3RGB转CIE-Lab算法原理

       RGB转CIE-Lab的转换过程可以分为两个步骤:首先将RGB颜色空间转换为XYZ颜色空间,然后将XYZ颜色空间转换为CIE-Lab颜色空间。

 

RGB转XYZ

 

RGB转XYZ的转换公式如下:

 

X = 0.4124R + 0.3576G + 0.1805B

Y = 0.2126R + 0.7152G + 0.0722B

Z = 0.0193R + 0.1192G + 0.9505B

 

       其中,R、G、B分别表示红、绿、蓝三个通道的颜色值,取值范围为[0,255]。X、Y、Z表示转换后的XYZ颜色空间的三个分量。

 

XYZ转CIE-Lab

 

XYZ转CIE-Lab的转换公式如下:

 

L* = 116f(Y/Yn) - 16

a* = 500[f(X/Xn) - f(Y/Yn)]

b* = 200[f(Y/Yn) - f(Z/Zn)]

 

       其中,Xn、Yn、Zn表示XYZ颜色空间的参考白点的坐标值。f(t)是一个非线性函数,定义如下:

 

f(t) = t^(1/3) (当t>0.008856时)

= 7.787t + 16/116 (当t≤0.008856时)

 

在FPGA设计过程中,一般将上述公式转换为如下表达式进行处理:

 

 

fX = XT .* X.^(1/3) + (~XT) .* (7.787 .* X + 4/29);

fY = YT .* Y.^(1/3) + (~YT) .* (7.787 .* Y + 4/29);

fZ = ZT .* Z.^(1/3) + (~ZT) .* (7.787 .* Z + 4/29);

 

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/08/01  
// Design Name: 
// Module Name: RGB2gray
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
 
 
module test_image;
 
reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_X,o_Y,o_Z;
wire [15:0] o_L;
wire signed[15:0] o_A,o_B;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;
 
//D:\D:\FPGA_Proj\FPGAtest\code_proj
initial 
begin
	fids1 = $fopen("D:\\FPGA_Proj\\FPGAtest\\code_proj\\R.bmp","rb");
	dat1  = $fread(Rbuff,fids1);
	$fclose(fids1);
end
 
initial 
begin
	fids2 = $fopen("D:\\FPGA_Proj\\FPGAtest\\code_proj\\G.bmp","rb");
	dat2  = $fread(Gbuff,fids2);
	$fclose(fids2);
end
 
initial 
begin
	fids3 = $fopen("D:\\FPGA_Proj\\FPGAtest\\code_proj\\b.bmp","rb");
	dat3 = $fread(Bbuff,fids3);
	$fclose(fids3);
end
 
 
 
initial 
begin
i_clk=1;
i_rst=1;
#1200;
i_rst=0;
end 
 
always #5  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	i_Ir<=Rbuff[jj];
	i_Ig<=Gbuff[jj];
	i_Ib<=Bbuff[jj];
	jj<=jj+1;
end
 
 
 
main_lab main_lab_u(
.i_clk    (i_clk),
.i_rst    (i_rst),
.i_image_R      (i_Ir),
.i_image_G      (i_Ig),
.i_image_B      (i_Ib),
.o_X            (o_X),
.o_Y            (o_Y), 
.o_Z            (o_Z),
.o_L            (o_L),
.o_A            (o_A), 
.o_B            (o_B)
);
 
 
integer fout1;
initial begin
 fout1 = $fopen("X.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout1,"%d\n",o_X);
	else
	$fwrite(fout1,"%d\n",0);
end
 
integer fout2;
initial begin
 fout2 = $fopen("Y.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout2,"%d\n",o_Y);
	else
	$fwrite(fout2,"%d\n",0);
end
 
 
integer fout3;
initial begin
 fout3 = $fopen("Z.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout3,"%d\n",o_Z);
	else
	$fwrite(fout3,"%d\n",0);
end
 
 
integer fout4;
initial begin
 fout4 = $fopen("L.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout4,"%d\n",o_L);
	else
	$fwrite(fout4,"%d\n",0);
end
 
integer fout5;
initial begin
 fout5 = $fopen("A.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout5,"%d\n",o_A);
	else
	$fwrite(fout5,"%d\n",0);
end
 
 
integer fout6;
initial begin
 fout6 = $fopen("B.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66615)
	$fwrite(fout6,"%d\n",o_B);
	else
	$fwrite(fout6,"%d\n",0);
end
 
endmodule

  

标签:颜色,FPGA,CIE,XYZ,Lab,RGB,testbench,空间
From: https://www.cnblogs.com/matlabworld/p/18015679

相关文章

  • PCIe 3.0 vs 2.0 – What’s the Difference?
    PCIe3.0vs2.0–What’stheDifference?https://www.technewstoday.com/pcie-3-0-vs-2-0/https://www.technewstoday.com/pcie-3-0-vs-2-0/LearnaboutoureditorialpoliciesUpdatedDecember11,2022Whenbuyingamotherboardoragraphicscard,haveyouev......
  • Sample-Efficient Deep Reinforcement Learning via Episodic Backward Update
    发表时间:2019(NeurIPS2019)文章要点:这篇文章提出EpisodicBackwardUpdate(EBU)算法,采样一整条轨迹,然后从后往前依次更新做experiencereplay,这种方法对稀疏和延迟回报的环境有很好的效果(allowssparseanddelayedrewardstopropagatedirectlythroughalltransitionso......
  • 带你认识PCIe插槽!除了插显卡它还能插什么
    关注我们的玩家或者经常接触台式机的玩家应该对PCIe这个词不陌生,它经常出现在主板、显卡甚至是硬盘上。可以说它是你电脑里最重要的接口或通道之一,不过似乎很多人并不知道它是个什么东西,到底能够干什么,觉得它只能用来插显卡,但实际上它的用途非常广泛,今天就一起简单了解一下这个神......
  • FPGA设计经验之图像处理
    用FPGA做图像处理优势最关键的就是:FPGA能进行实时流水线运算,能达到最高的实时性。因此在一些对实时性要求非常高的应用领域,做图像处理基本就只能用FPGA。例如在一些分选设备中图像处理基本上用的都是FPGA,因为在其中相机从看到物料图像到给出执行指令之间的延时大概只有几毫秒,这就......
  • 软件无线电平台的FPGA设计与实现
    软件无线电的概念最早由美国MITRE公司的Joe.Mitola于1992年5月在美国国家远程会议上提出,其基本思想是以硬件平台作为无线电通信的基础,通过软件编程的方式在此硬件平台上实现可定制的无线电功能。软件无线电系统具有数字化、可编程性、模块化、可扩展性和开放性的特点,使其在包......
  • FPGA图像处理学习(人脸识别、追踪、转换)
    获取人脸图像——肤色提取(Ycbcr+阈值)——滤波处理(中值、腐蚀膨胀)——人脸框选——显示肤色提取:顾名思义,将肤色从外界环境中提取出。在肤色识别算法中,常用YCbCr颜色空间(亮度、蓝色、红色分量),因为肤色在YCbCr空间受亮度信息的影响较小,从而肤色类聚性好,由此,在Ycbcr空间基础上,我们......
  • FPGA图像处理(直方图均衡化)
       图像处理领域中利用图像直方图对对比度进行调整的方法。对比度是画面黑与白的比值,也就是从黑到白的渐变层次。比值越大,从黑到白的渐变层次就越多,从而色彩表现越丰富。对比度对视觉效果的影响非常关键,一般来说对比度越大,图像越清晰醒目,色彩也越鲜明艳丽;而对比度小,则会让......
  • Rockchip RK3399 - PCIe
    一、PCIe调试1.1编译内核1.1配置设备树pcie设备节点定义在arch/arm64/boot/dts/rockchip/rk3399.dtsi;pcie0:pcie@f8000000{ compatible="rockchip,rk3399-pcie"; reg=<0x00xf80000000x00x2000000>, <0x00xfd0000000x00x1000000>; reg-names=......
  • 高级FPGA开发之基础协议之PCIe(二)
    高级FPGA开发之基础协议之PCIe(二)一、TLP报文类型在PCIe总线中,存储器读写、I/O读写和配置读写请求TLP主要由以下几类报文组成:1.1存储器读请求TLP和读完成TLP当PCIe主设备(RC或者EP)访问目标设备的存储器空间时,使用non-posted总线事务向目标设备发出存储器读请求TLP,目标设备收到这个存......
  • 全国产T3+FPGA的SPI与I2C通信方案分享
    近年来,随着中国新基建、中国制造2025规划的持续推进,单ARM处理器越来越难胜任工业现场的功能要求,特别是如今能源电力、工业控制、智慧医疗等行业,往往更需要ARM+FPGA架构的处理器平台来实现例如多路/高速AD采集、多路网口、多路串口、多路/高速并行DI/DO、高速数据并行处理等特定......