首页 > 编程语言 >基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

时间:2024-01-25 23:33:56浏览次数:27  
标签:颜色 FPGA Max HLS RGB testbench Diff

1.算法运行效果图预览

 

将FPGA结果导入到MATLAB显示效果:

 

2.算法运行软件版本

Vivado2019.2

 

matlab2022a

 

3.算法理论概述

        在数字图像处理中,RGB和HLS是两种常见的颜色空间。RGB基于红绿蓝三种基本颜色的叠加来定义其他颜色,而HLS则代表色调、亮度和饱和度,它更接近人类视觉对颜色的感知。将RGB图像转换为HLS图像的目的通常是为了更方便地进行某些类型的图像处理,比如色彩平衡和色彩分离。RGB颜色空间基于笛卡尔坐标系,其中R、G、B分别代表红、绿、蓝三种颜色的强度。HLS颜色空间则是基于圆柱坐标系,其中H代表色调(0-360度),L代表亮度(0-1),S代表饱和度(0-1)。

 

        转换的第一步是将RGB值归一化到[0,1]范围。然后,通过计算RGB颜色空间的最大值和最小值来得到亮度L。色调H由RGB中的最大值和最小值决定,并使用反正切函数来得到0-360度的角度。最后,饱和度S基于最大值和亮度L来计算。

 

具体的转换公式如下:

 

将RGB值归一化到[0,1]:

R' = R/255

G' = G/255

B' = B/255

 

3.1计算最大值和最小值

 

Max = max(R', G', B')

Min = min(R', G', B')

Diff = Max - Min

 

3.2计算亮度L

 

L = (Max + Min) / 2

 

 

3.3计算饱和度S

if L < 0.5:

S = Diff / (Max + Min)

else:

S = Diff / (2 - Max - Min)

 

3.4计算色调H

 

if Diff == 0:

H = 0

else:

if Max == R':

H = (60 * ((G' - B') / Diff) + 360) % 360

elif Max == G':

H = (60 * ((B' - R') / Diff) + 120) % 360

elif Max == B':

H = (60 * ((R' - G') / Diff) + 240) % 360

 

       这些公式可以将每一个像素从RGB颜色空间转换到HLS颜色空间。值得注意的是,这种转换通常是可逆的,也就是说,你也可以从HLS颜色空间转换回RGB颜色空间。在实现RGB到HLS的转换时,通常会先读取一幅RGB图像,然后将上述公式应用于图像中的每一个像素。

 

 

 

 

4.部分核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/08/01  
// Design Name: 
// Module Name: RGB2gray
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
 
module test_image;
 
reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_H;
wire [7:0] o_L,o_S;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;
 
 
 
 
//D:\FPGA_Proj\FPGAtest\codepz
initial 
begin
	fids1 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\R.bmp","rb");
	dat1  = $fread(Rbuff,fids1);
	$fclose(fids1);
end
 
initial 
begin
	fids2 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\G.bmp","rb");
	dat2  = $fread(Gbuff,fids2);
	$fclose(fids2);
end
 
initial 
begin
	fids3 = $fopen("D:\\FPGA_Proj\\FPGAtest\\codepz\\B.bmp","rb");
	dat3 = $fread(Bbuff,fids3);
	$fclose(fids3);
end
 
 
 
initial 
begin
i_clk=1;
i_rst=1;
#1200;
i_rst=0;
end 
 
always #5  i_clk=~i_clk;
 
always@(posedge i_clk) 
begin
	i_Ir<=Rbuff[jj];
	i_Ig<=Gbuff[jj];
	i_Ib<=Bbuff[jj];
	jj<=jj+1;
end
 
 
 
main_RGB2HLS main_RGB2HLS_u(
.i_clk    (i_clk),
.i_rst    (i_rst),
.i_image_R      (i_Ir),
.i_image_G      (i_Ig),
.i_image_B      (i_Ib),
.o_H            (o_H),// Y 
.o_L            (o_L),// Y 
.o_S            (o_S)
);
 
 
integer fout1;
initial begin
 fout1 = $fopen("H.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66616)
	$fwrite(fout1,"%d\n",o_H);
	else
	$fwrite(fout1,"%d\n",0);
end
 
integer fout2;
initial begin
 fout2 = $fopen("L.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66616)
	$fwrite(fout2,"%d\n",o_L);
	else
	$fwrite(fout2,"%d\n",0);
end
 
 
integer fout3;
initial begin
 fout3 = $fopen("S.txt","w");
end
 
always @ (posedge i_clk)
 begin
    if(jj<=66616)
	$fwrite(fout3,"%d\n",o_S);
	else
	$fwrite(fout3,"%d\n",0);
end
endmodule

  

 

标签:颜色,FPGA,Max,HLS,RGB,testbench,Diff
From: https://www.cnblogs.com/matlabworld/p/17988418

相关文章

  • 新品来袭,全国产ARM+FPGA--"RK3568J+Logos-2"工业核心板,让您的硬件设计“更简单”!
    如需选购,请登录创龙科技天猫旗舰店:tronlong.tmall.com!欢迎加入RK3568J技术交流群:567208221欢迎加入Logos-2技术交流群:311416997更多产品详情以及购买咨询可添加如下客服人员微信(即刻添加,马上咨询) 更多RK3568J+Logos-2产品资料可长按二维码识别下载  ......
  • m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
    1.算法仿真效果本系统进行了Vivado2019.2平台的开发,测试结果如下:2.算法涉及理论知识概要在现代数字通信和存储系统中,错误检测和纠正(ErrorDetectionandCorrection,EDC)机制是至关重要的。Hamming码,以其发明者RichardHamming命名,是一种线性错误检测和纠正码,广泛应用于这些系......
  • Fpga开发笔记(二):高云FPGA发开发软件Gowin和高云fpga基本开发过程
    前言  本篇安装高云的开发软件Gowin,并且描述了一个基于高云fpga的程序的开发环境和完整的下载运行过程。 Gowin软件概述  Gowin软件是广东高云半导体股份有限公司的FPGA开发软件。下载地址  http://www.gowinsemi.com.cn    申请license......
  • FPGA:spi_flash读写测试
    SIP_FLASH逻辑设计需求SPI读写flash,最大4mbit,实现给定地址,长度可完成存储数据读取。本设计包含指令:RSR-1、PP、SE、BE、READ。用户接口:PortnameDirectionTypeDescriptionclk_sysINPUTWIRE输入系统时钟rst_sysINPUTWIRE输入系统......
  • ★教程4:FPGA/MATLAB/Simulink联合应用开发入门与进阶X例——前言★教程3:simulink学
        专业即算法,算法即数学,数学即万物。从事MATLAB算法仿真工作15年,从事FPGA系统开发工作12多年。擅长解决各种算法仿真、建模、通信、图像处理、AI、智能控制等。 1.无线基带,无线图传,编解码2.机器视觉,图像处理,三维重建3.人工智能,深度学习4.智能控制,智能优化目录1.FPG......
  • ★教程4:FPGA/MATLAB/Simulink联合应用开发入门与进阶X例——目录
    1.订阅本教程用户可以免费获得本博任意1个博文对应代码;2.本课程的所有案例(部分理论知识点除外)均由博主编写而成,供有兴趣的朋友们自己订阅学习使用。未经本人允许,禁止任何形式的商业用途;3.本课程我们更侧重于各种实例的完整设计介绍。更全面的介绍FPGA,MATLAB,Simulink的联合开发应......
  • Fpga开发笔记(一):高云FPGA芯片介绍,入手开发板套件、核心板和底板介绍
    前言  FPGA作为一种逻辑芯片,硬件架构独特,具有并行性、低延时性和灵活性等特性,应用领域广泛。  FPGA市场主要玩家是英特尔、AMD、莱迪思、Microchip、Achronix等;国内厂商包括复旦微电、紫光国微、安路科技、东土科技、高云半导体、京微齐力、京微雅格、智多晶、遨格芯等。 ......
  • 基于FPGA的电子琴设计(按键和蜂鸣器)---第一版---郝旭帅电子设计团队
    本篇为各位朋友介绍基于FPGA的电子琴设计(按键和蜂鸣器)----第一版。功能说明:外部输入七个按键,分别对应音符的“1、2、3、4、5、6、7”,唱作do、re、mi、fa、sol、la、si。当某个按键按下时,蜂鸣器发出对应的声音----1.默认发出0.2秒(可以调整)。2.蜂鸣器发出对应的中音。使用平台:本次设计......
  • 基于FPGA的数字钟设计---第三版---郝旭帅电子设计团队
    本篇为各位朋友介绍基于FPGA的数字钟设计---第三版。功能说明:在数码管上面显示时分秒(共计六个数码管,前两个显示小时;中间两个显示分钟;最后两个显示秒)。利用按键可以切换24/12小时制(默认24小时制)。led1的亮灭表示24小时制(亮)还是12小时制(灭)。led2的亮灭表示上午(亮)还是下......
  • m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
    1.算法仿真效果vivado2019.2仿真结果如下:2.算法涉及理论知识概要基于FPGA的PPM(脉冲位置调制)光学脉位调制解调系统是一个复杂的电子与光电子相结合的通信系统。2.1PPM调制解调原理脉冲相位调制(PPM)最早由Pierce提出并应用于空间通信,是利用脉冲的相对位置来传递信息的一种调制......